Module ATDGenerated.Clang_ast_v

type access_specifier = Clang_ast_t.access_specifier
type atomic_expr_kind = Clang_ast_t.atomic_expr_kind
type atomic_expr_info = Clang_ast_t.atomic_expr_info = {
  1. aei_kind : atomic_expr_kind;
}
type attribute_kind = Clang_ast_t.attribute_kind =
  1. | AddressSpaceAttrKind
  2. | AnnotateTypeAttrKind
  3. | ArmInAttrKind
  4. | ArmInOutAttrKind
  5. | ArmMveStrictPolymorphismAttrKind
  6. | ArmOutAttrKind
  7. | ArmPreservesAttrKind
  8. | ArmStreamingAttrKind
  9. | ArmStreamingCompatibleAttrKind
  10. | BTFTypeTagAttrKind
  11. | CmseNSCallAttrKind
  12. | HLSLGroupSharedAddressSpaceAttrKind
  13. | HLSLParamModifierAttrKind
  14. | NoDerefAttrKind
  15. | ObjCGCAttrKind
  16. | ObjCInertUnsafeUnretainedAttrKind
  17. | ObjCKindOfAttrKind
  18. | OpenCLConstantAddressSpaceAttrKind
  19. | OpenCLGenericAddressSpaceAttrKind
  20. | OpenCLGlobalAddressSpaceAttrKind
  21. | OpenCLGlobalDeviceAddressSpaceAttrKind
  22. | OpenCLGlobalHostAddressSpaceAttrKind
  23. | OpenCLLocalAddressSpaceAttrKind
  24. | OpenCLPrivateAddressSpaceAttrKind
  25. | Ptr32AttrKind
  26. | Ptr64AttrKind
  27. | SPtrAttrKind
  28. | TypeNonNullAttrKind
  29. | TypeNullUnspecifiedAttrKind
  30. | TypeNullableAttrKind
  31. | TypeNullableResultAttrKind
  32. | UPtrAttrKind
  33. | WebAssemblyFuncrefAttrKind
  34. | CodeAlignAttrKind
  35. | FallThroughAttrKind
  36. | LikelyAttrKind
  37. | MustTailAttrKind
  38. | OpenCLUnrollHintAttrKind
  39. | UnlikelyAttrKind
  40. | AlwaysInlineAttrKind
  41. | NoInlineAttrKind
  42. | NoMergeAttrKind
  43. | SuppressAttrKind
  44. | AArch64SVEPcsAttrKind
  45. | AArch64VectorPcsAttrKind
  46. | AMDGPUKernelCallAttrKind
  47. | AcquireHandleAttrKind
  48. | AnyX86NoCfCheckAttrKind
  49. | CDeclAttrKind
  50. | FastCallAttrKind
  51. | IntelOclBiccAttrKind
  52. | LifetimeBoundAttrKind
  53. | M68kRTDAttrKind
  54. | MSABIAttrKind
  55. | NSReturnsRetainedAttrKind
  56. | ObjCOwnershipAttrKind
  57. | PascalAttrKind
  58. | PcsAttrKind
  59. | PreserveAllAttrKind
  60. | PreserveMostAttrKind
  61. | RegCallAttrKind
  62. | StdCallAttrKind
  63. | SwiftAsyncCallAttrKind
  64. | SwiftCallAttrKind
  65. | SysVABIAttrKind
  66. | ThisCallAttrKind
  67. | VectorCallAttrKind
  68. | SwiftAsyncContextAttrKind
  69. | SwiftContextAttrKind
  70. | SwiftErrorResultAttrKind
  71. | SwiftIndirectResultAttrKind
  72. | AnnotateAttrKind
  73. | CFConsumedAttrKind
  74. | CarriesDependencyAttrKind
  75. | NSConsumedAttrKind
  76. | NonNullAttrKind
  77. | OSConsumedAttrKind
  78. | PassObjectSizeAttrKind
  79. | ReleaseHandleAttrKind
  80. | UseHandleAttrKind
  81. | HLSLSV_DispatchThreadIDAttrKind
  82. | HLSLSV_GroupIndexAttrKind
  83. | AMDGPUFlatWorkGroupSizeAttrKind
  84. | AMDGPUNumSGPRAttrKind
  85. | AMDGPUNumVGPRAttrKind
  86. | AMDGPUWavesPerEUAttrKind
  87. | ARMInterruptAttrKind
  88. | AVRInterruptAttrKind
  89. | AVRSignalAttrKind
  90. | AcquireCapabilityAttrKind
  91. | AcquiredAfterAttrKind
  92. | AcquiredBeforeAttrKind
  93. | AlignMac68kAttrKind
  94. | AlignNaturalAttrKind
  95. | AlignedAttrKind
  96. | AllocAlignAttrKind
  97. | AllocSizeAttrKind
  98. | AlwaysDestroyAttrKind
  99. | AnalyzerNoReturnAttrKind
  100. | AnyX86InterruptAttrKind
  101. | AnyX86NoCallerSavedRegistersAttrKind
  102. | ArcWeakrefUnavailableAttrKind
  103. | ArgumentWithTypeTagAttrKind
  104. | ArmBuiltinAliasAttrKind
  105. | ArmLocallyStreamingAttrKind
  106. | ArmNewAttrKind
  107. | ArtificialAttrKind
  108. | AsmLabelAttrKind
  109. | AssertCapabilityAttrKind
  110. | AssertExclusiveLockAttrKind
  111. | AssertSharedLockAttrKind
  112. | AssumeAlignedAttrKind
  113. | AssumptionAttrKind
  114. | AvailabilityAttrKind
  115. | AvailableOnlyInDefaultEvalMethodAttrKind
  116. | BPFPreserveAccessIndexAttrKind
  117. | BPFPreserveStaticOffsetAttrKind
  118. | BTFDeclTagAttrKind
  119. | BlocksAttrKind
  120. | BuiltinAttrKind
  121. | C11NoReturnAttrKind
  122. | CFAuditedTransferAttrKind
  123. | CFGuardAttrKind
  124. | CFICanonicalJumpTableAttrKind
  125. | CFReturnsNotRetainedAttrKind
  126. | CFReturnsRetainedAttrKind
  127. | CFUnknownTransferAttrKind
  128. | CPUDispatchAttrKind
  129. | CPUSpecificAttrKind
  130. | CUDAConstantAttrKind
  131. | CUDADeviceAttrKind
  132. | CUDADeviceBuiltinSurfaceTypeAttrKind
  133. | CUDADeviceBuiltinTextureTypeAttrKind
  134. | CUDAGlobalAttrKind
  135. | CUDAHostAttrKind
  136. | CUDAInvalidTargetAttrKind
  137. | CUDALaunchBoundsAttrKind
  138. | CUDASharedAttrKind
  139. | CXX11NoReturnAttrKind
  140. | CallableWhenAttrKind
  141. | CallbackAttrKind
  142. | CapabilityAttrKind
  143. | CapturedRecordAttrKind
  144. | CleanupAttrKind
  145. | CmseNSEntryAttrKind
  146. | CodeModelAttrKind
  147. | CodeSegAttrKind
  148. | ColdAttrKind
  149. | CommonAttrKind
  150. | ConstAttrKind
  151. | ConstInitAttrKind
  152. | ConstructorAttrKind
  153. | ConsumableAttrKind
  154. | ConsumableAutoCastAttrKind
  155. | ConsumableSetOnReadAttrKind
  156. | ConvergentAttrKind
  157. | CoroDisableLifetimeBoundAttrKind
  158. | CoroLifetimeBoundAttrKind
  159. | CoroOnlyDestroyWhenCompleteAttrKind
  160. | CoroReturnTypeAttrKind
  161. | CoroWrapperAttrKind
  162. | CountedByAttrKind
  163. | DLLExportAttrKind
  164. | DLLExportStaticLocalAttrKind
  165. | DLLImportAttrKind
  166. | DLLImportStaticLocalAttrKind
  167. | DeprecatedAttrKind
  168. | DestructorAttrKind
  169. | DiagnoseAsBuiltinAttrKind
  170. | DiagnoseIfAttrKind
  171. | DisableSanitizerInstrumentationAttrKind
  172. | DisableTailCallsAttrKind
  173. | EmptyBasesAttrKind
  174. | EnableIfAttrKind
  175. | EnforceTCBAttrKind
  176. | EnforceTCBLeafAttrKind
  177. | EnumExtensibilityAttrKind
  178. | ErrorAttrKind
  179. | ExcludeFromExplicitInstantiationAttrKind
  180. | ExclusiveTrylockFunctionAttrKind
  181. | ExternalSourceSymbolAttrKind
  182. | FinalAttrKind
  183. | FlagEnumAttrKind
  184. | FlattenAttrKind
  185. | FormatAttrKind
  186. | FormatArgAttrKind
  187. | FunctionReturnThunksAttrKind
  188. | GNUInlineAttrKind
  189. | GuardedByAttrKind
  190. | GuardedVarAttrKind
  191. | HIPManagedAttrKind
  192. | HLSLNumThreadsAttrKind
  193. | HLSLResourceAttrKind
  194. | HLSLResourceBindingAttrKind
  195. | HLSLShaderAttrKind
  196. | HotAttrKind
  197. | IBActionAttrKind
  198. | IBOutletAttrKind
  199. | IBOutletCollectionAttrKind
  200. | InitPriorityAttrKind
  201. | InternalLinkageAttrKind
  202. | LTOVisibilityPublicAttrKind
  203. | LayoutVersionAttrKind
  204. | LeafAttrKind
  205. | LockReturnedAttrKind
  206. | LocksExcludedAttrKind
  207. | M68kInterruptAttrKind
  208. | MIGServerRoutineAttrKind
  209. | MSAllocatorAttrKind
  210. | MSConstexprAttrKind
  211. | MSInheritanceAttrKind
  212. | MSNoVTableAttrKind
  213. | MSP430InterruptAttrKind
  214. | MSStructAttrKind
  215. | MSVtorDispAttrKind
  216. | MaxFieldAlignmentAttrKind
  217. | MayAliasAttrKind
  218. | MaybeUndefAttrKind
  219. | MicroMipsAttrKind
  220. | MinSizeAttrKind
  221. | MinVectorWidthAttrKind
  222. | Mips16AttrKind
  223. | MipsInterruptAttrKind
  224. | MipsLongCallAttrKind
  225. | MipsShortCallAttrKind
  226. | NSConsumesSelfAttrKind
  227. | NSErrorDomainAttrKind
  228. | NSReturnsAutoreleasedAttrKind
  229. | NSReturnsNotRetainedAttrKind
  230. | NVPTXKernelAttrKind
  231. | NakedAttrKind
  232. | NoAliasAttrKind
  233. | NoCommonAttrKind
  234. | NoDebugAttrKind
  235. | NoDestroyAttrKind
  236. | NoDuplicateAttrKind
  237. | NoInstrumentFunctionAttrKind
  238. | NoMicroMipsAttrKind
  239. | NoMips16AttrKind
  240. | NoProfileFunctionAttrKind
  241. | NoRandomizeLayoutAttrKind
  242. | NoReturnAttrKind
  243. | NoSanitizeAttrKind
  244. | NoSpeculativeLoadHardeningAttrKind
  245. | NoSplitStackAttrKind
  246. | NoStackProtectorAttrKind
  247. | NoThreadSafetyAnalysisAttrKind
  248. | NoThrowAttrKind
  249. | NoUniqueAddressAttrKind
  250. | NoUwtableAttrKind
  251. | NotTailCalledAttrKind
  252. | OMPAllocateDeclAttrKind
  253. | OMPCaptureNoInitAttrKind
  254. | OMPDeclareTargetDeclAttrKind
  255. | OMPDeclareVariantAttrKind
  256. | OMPThreadPrivateDeclAttrKind
  257. | OSConsumesThisAttrKind
  258. | OSReturnsNotRetainedAttrKind
  259. | OSReturnsRetainedAttrKind
  260. | OSReturnsRetainedOnNonZeroAttrKind
  261. | OSReturnsRetainedOnZeroAttrKind
  262. | ObjCBridgeAttrKind
  263. | ObjCBridgeMutableAttrKind
  264. | ObjCBridgeRelatedAttrKind
  265. | ObjCExceptionAttrKind
  266. | ObjCExplicitProtocolImplAttrKind
  267. | ObjCExternallyRetainedAttrKind
  268. | ObjCIndependentClassAttrKind
  269. | ObjCMethodFamilyAttrKind
  270. | ObjCNSObjectAttrKind
  271. | ObjCPreciseLifetimeAttrKind
  272. | ObjCRequiresPropertyDefsAttrKind
  273. | ObjCRequiresSuperAttrKind
  274. | ObjCReturnsInnerPointerAttrKind
  275. | ObjCRootClassAttrKind
  276. | ObjCSubclassingRestrictedAttrKind
  277. | OpenCLIntelReqdSubGroupSizeAttrKind
  278. | OpenCLKernelAttrKind
  279. | OptimizeNoneAttrKind
  280. | OverrideAttrKind
  281. | OwnerAttrKind
  282. | OwnershipAttrKind
  283. | PackedAttrKind
  284. | ParamTypestateAttrKind
  285. | PatchableFunctionEntryAttrKind
  286. | PointerAttrKind
  287. | PragmaClangBSSSectionAttrKind
  288. | PragmaClangDataSectionAttrKind
  289. | PragmaClangRelroSectionAttrKind
  290. | PragmaClangRodataSectionAttrKind
  291. | PragmaClangTextSectionAttrKind
  292. | PreferredNameAttrKind
  293. | PreferredTypeAttrKind
  294. | PtGuardedByAttrKind
  295. | PtGuardedVarAttrKind
  296. | PureAttrKind
  297. | RISCVInterruptAttrKind
  298. | RandomizeLayoutAttrKind
  299. | ReadOnlyPlacementAttrKind
  300. | ReinitializesAttrKind
  301. | ReleaseCapabilityAttrKind
  302. | ReqdWorkGroupSizeAttrKind
  303. | RequiresCapabilityAttrKind
  304. | RestrictAttrKind
  305. | RetainAttrKind
  306. | ReturnTypestateAttrKind
  307. | ReturnsNonNullAttrKind
  308. | ReturnsTwiceAttrKind
  309. | SYCLKernelAttrKind
  310. | SYCLSpecialClassAttrKind
  311. | ScopedLockableAttrKind
  312. | SectionAttrKind
  313. | SelectAnyAttrKind
  314. | SentinelAttrKind
  315. | SetTypestateAttrKind
  316. | SharedTrylockFunctionAttrKind
  317. | SpeculativeLoadHardeningAttrKind
  318. | StandaloneDebugAttrKind
  319. | StrictFPAttrKind
  320. | StrictGuardStackCheckAttrKind
  321. | SwiftAsyncAttrKind
  322. | SwiftAsyncErrorAttrKind
  323. | SwiftAsyncNameAttrKind
  324. | SwiftAttrAttrKind
  325. | SwiftBridgeAttrKind
  326. | SwiftBridgedTypedefAttrKind
  327. | SwiftErrorAttrKind
  328. | SwiftImportAsNonGenericAttrKind
  329. | SwiftImportPropertyAsAccessorsAttrKind
  330. | SwiftNameAttrKind
  331. | SwiftNewTypeAttrKind
  332. | SwiftPrivateAttrKind
  333. | TLSModelAttrKind
  334. | TargetAttrKind
  335. | TargetClonesAttrKind
  336. | TargetVersionAttrKind
  337. | TestTypestateAttrKind
  338. | TransparentUnionAttrKind
  339. | TrivialABIAttrKind
  340. | TryAcquireCapabilityAttrKind
  341. | TypeTagForDatatypeAttrKind
  342. | TypeVisibilityAttrKind
  343. | UnavailableAttrKind
  344. | UninitializedAttrKind
  345. | UnsafeBufferUsageAttrKind
  346. | UnusedAttrKind
  347. | UsedAttrKind
  348. | UsingIfExistsAttrKind
  349. | UuidAttrKind
  350. | VecReturnAttrKind
  351. | VecTypeHintAttrKind
  352. | VisibilityAttrKind
  353. | WarnUnusedAttrKind
  354. | WarnUnusedResultAttrKind
  355. | WeakAttrKind
  356. | WeakImportAttrKind
  357. | WeakRefAttrKind
  358. | WebAssemblyExportNameAttrKind
  359. | WebAssemblyImportModuleAttrKind
  360. | WebAssemblyImportNameAttrKind
  361. | WorkGroupSizeHintAttrKind
  362. | X86ForceAlignArgPointerAttrKind
  363. | XRayInstrumentAttrKind
  364. | XRayLogArgsAttrKind
  365. | ZeroCallUsedRegsAttrKind
  366. | AbiTagAttrKind
  367. | AliasAttrKind
  368. | AlignValueAttrKind
  369. | BuiltinAliasAttrKind
  370. | CalledOnceAttrKind
  371. | IFuncAttrKind
  372. | InitSegAttrKind
  373. | LoaderUninitializedAttrKind
  374. | LoopHintAttrKind
  375. | ModeAttrKind
  376. | NoBuiltinAttrKind
  377. | NoEscapeAttrKind
  378. | OMPCaptureKindAttrKind
  379. | OMPDeclareSimdDeclAttrKind
  380. | OMPReferencedVarAttrKind
  381. | ObjCBoxableAttrKind
  382. | ObjCClassStubAttrKind
  383. | ObjCDesignatedInitializerAttrKind
  384. | ObjCDirectAttrKind
  385. | ObjCDirectMembersAttrKind
  386. | ObjCNonLazyClassAttrKind
  387. | ObjCNonRuntimeProtocolAttrKind
  388. | ObjCRuntimeNameAttrKind
  389. | ObjCRuntimeVisibleAttrKind
  390. | OpenCLAccessAttrKind
  391. | OverloadableAttrKind
  392. | RenderScriptKernelAttrKind
  393. | SwiftObjCMembersAttrKind
  394. | SwiftVersionedAdditionAttrKind
  395. | SwiftVersionedRemovalAttrKind
  396. | ThreadAttrKind
type binary_operator_kind = Clang_ast_t.binary_operator_kind
type binary_operator_info = Clang_ast_t.binary_operator_info = {
  1. boi_kind : binary_operator_kind;
}
type builtin_type_kind = Clang_ast_t.builtin_type_kind
type cast_kind = Clang_ast_t.cast_kind
type cxx_base_specifier = Clang_ast_t.cxx_base_specifier = {
  1. xbs_name : string;
  2. xbs_virtual : bool;
}
type cast_expr_info = Clang_ast_t.cast_expr_info = {
  1. cei_cast_kind : cast_kind;
  2. cei_base_path : cxx_base_specifier list;
}
type cxx_noexcept_expr_info = Clang_ast_t.cxx_noexcept_expr_info = {
  1. xnee_value : bool;
}
type decl_context_info = Clang_ast_t.decl_context_info = {
  1. dci_has_external_lexical_storage : bool;
  2. dci_has_external_visible_storage : bool;
}
type decl_kind = Clang_ast_t.decl_kind
type declaration_name_kind = Clang_ast_t.declaration_name_kind
type declaration_name = Clang_ast_t.declaration_name = {
  1. dn_kind : declaration_name_kind;
  2. dn_name : string;
}
type enum_decl_scope = Clang_ast_t.enum_decl_scope
type enum_decl_info = Clang_ast_t.enum_decl_info = {
  1. edi_scope : enum_decl_scope option;
  2. edi_is_module_private : bool;
}
type input_kind = Clang_ast_t.input_kind
type integer_literal_info = Clang_ast_t.integer_literal_info = {
  1. ili_is_signed : bool;
  2. ili_bitwidth : int;
  3. ili_value : string;
}
type integer_type_widths = Clang_ast_t.integer_type_widths = {
  1. itw_char_type : int;
  2. itw_short_type : int;
  3. itw_int_type : int;
  4. itw_long_type : int;
  5. itw_longlong_type : int;
}
type lambda_capture_kind = Clang_ast_t.lambda_capture_kind
type named_decl_info = Clang_ast_t.named_decl_info = {
  1. ni_name : string;
  2. ni_qual_name : string list;
}
type obj_c_access_control = Clang_ast_t.obj_c_access_control
type obj_c_availability_check_expr_info = Clang_ast_t.obj_c_availability_check_expr_info = {
  1. oacei_version : string option;
}
type obj_c_bridge_cast_kind = Clang_ast_t.obj_c_bridge_cast_kind
type obj_c_bridged_cast_expr_info = Clang_ast_t.obj_c_bridged_cast_expr_info = {
  1. obcei_cast_kind : obj_c_bridge_cast_kind;
}
type obj_c_ivar_decl_info = Clang_ast_t.obj_c_ivar_decl_info = {
  1. ovdi_is_synthesize : bool;
  2. ovdi_access_control : obj_c_access_control;
}
type obj_c_property_control = Clang_ast_t.obj_c_property_control
type obj_c_subscript_kind = Clang_ast_t.obj_c_subscript_kind
type objc_lifetime_attr = Clang_ast_t.objc_lifetime_attr
type attr_type_info = Clang_ast_t.attr_type_info = {
  1. ati_attr_kind : attribute_kind;
  2. ati_lifetime : objc_lifetime_attr;
}
type object_kind = Clang_ast_t.object_kind
type offset_of_expr_info = Clang_ast_t.offset_of_expr_info = {
  1. ooe_literal : integer_literal_info option;
}
type pointer = Clang_ast_t.pointer
type addr_label_expr_info = Clang_ast_t.addr_label_expr_info = {
  1. alei_label : string;
  2. alei_pointer : pointer;
}
type cxx_new_expr_info = Clang_ast_t.cxx_new_expr_info = {
  1. xnei_is_array : bool;
  2. xnei_array_size_expr : pointer option;
  3. xnei_initializer_expr : pointer option;
  4. xnei_placement_args : pointer list;
}
type cxx_temporary = Clang_ast_t.cxx_temporary
type cxx_bind_temporary_expr_info = Clang_ast_t.cxx_bind_temporary_expr_info = {
  1. xbtei_cxx_temporary : cxx_temporary;
}
type goto_stmt_info = Clang_ast_t.goto_stmt_info = {
  1. gsi_label : string;
  2. gsi_pointer : pointer;
}
type obj_c_array_literal_expr_info = Clang_ast_t.obj_c_array_literal_expr_info = {
  1. oalei_array_method : pointer option;
}
type obj_c_dictionary_literal_expr_info = Clang_ast_t.obj_c_dictionary_literal_expr_info = {
  1. odlei_dict_method : pointer option;
}
type predefined_expr_type = Clang_ast_t.predefined_expr_type
type property_attribute = Clang_ast_t.property_attribute
type property_implementation = Clang_ast_t.property_implementation
type record_decl_info = Clang_ast_t.record_decl_info = {
  1. rdi_definition_ptr : pointer;
  2. rdi_is_module_private : bool;
  3. rdi_is_complete_definition : bool;
  4. rdi_is_dependent_type : bool;
}
type selector = Clang_ast_t.selector
type obj_c_method_ref_info = Clang_ast_t.obj_c_method_ref_info = {
  1. mri_getter : selector option;
  2. mri_setter : selector option;
}
type obj_c_subscript_ref_expr_info = Clang_ast_t.obj_c_subscript_ref_expr_info = {
  1. osrei_kind : obj_c_subscript_kind;
  2. osrei_getter : selector option;
  3. osrei_setter : selector option;
}
type objc_boxed_expr_info = Clang_ast_t.objc_boxed_expr_info = {
  1. obei_boxing_method : selector option;
}
type sentinel_attr_info = Clang_ast_t.sentinel_attr_info = {
  1. sai_sentinel : int;
  2. sai_null_pos : int;
}
type source_file = Clang_ast_t.source_file
type source_location = Clang_ast_t.source_location = {
  1. mutable sl_file : source_file option;
  2. mutable sl_line : int option;
  3. mutable sl_column : int option;
  4. sl_is_macro : bool;
  5. mutable sl_macro_file : source_file option;
  6. mutable sl_macro_line : int option;
}
type source_range = Clang_ast_t.source_range
type attribute_info = Clang_ast_t.attribute_info = {
  1. ai_pointer : pointer;
  2. ai_source_range : source_range;
}
type attr_tuple = Clang_ast_t.attr_tuple
type comment_info = Clang_ast_t.comment_info = {
  1. ci_parent_pointer : pointer;
  2. ci_source_range : source_range;
}
type specifier_kind = Clang_ast_t.specifier_kind
type stmt_info = Clang_ast_t.stmt_info = {
  1. si_pointer : pointer;
  2. si_source_range : source_range;
}
type tag_kind = Clang_ast_t.tag_kind
type type_ptr = Clang_ast_t.type_ptr
type qual_type = Clang_ast_t.qual_type = {
  1. qt_type_ptr : type_ptr;
  2. qt_is_const : bool;
  3. qt_is_restrict : bool;
  4. qt_is_volatile : bool;
}
type array_type_info = Clang_ast_t.array_type_info = {
  1. arti_element_type : qual_type;
  2. arti_stride : int option;
}
type compound_assign_operator_info = Clang_ast_t.compound_assign_operator_info = {
  1. caoi_lhs_type : qual_type;
  2. caoi_result_type : qual_type;
}
type cxx_delete_expr_info = Clang_ast_t.cxx_delete_expr_info = {
  1. xdei_is_array : bool;
  2. xdei_destroyed_type : qual_type;
}
type decl_ref = Clang_ast_t.decl_ref = {
  1. dr_kind : decl_kind;
  2. dr_decl_pointer : pointer;
  3. dr_name : named_decl_info option;
  4. dr_is_hidden : bool;
  5. dr_qual_type : qual_type option;
}
type cxx_construct_expr_info = Clang_ast_t.cxx_construct_expr_info = {
  1. xcei_decl_ref : decl_ref;
  2. xcei_is_elidable : bool;
  3. xcei_requires_zero_initialization : bool;
  4. xcei_is_copy_constructor : bool;
}
type cxx_ctor_initializer_subject = Clang_ast_t.cxx_ctor_initializer_subject
type decl_ref_expr_info = Clang_ast_t.decl_ref_expr_info = {
  1. drti_decl_ref : decl_ref option;
  2. drti_found_decl_ref : decl_ref option;
}
type expr_with_cleanups_info = Clang_ast_t.expr_with_cleanups_info = {
  1. ewci_decl_refs : decl_ref list;
}
type function_type_info = Clang_ast_t.function_type_info = {
  1. fti_return_type : qual_type;
}
type materialize_temporary_expr_info = Clang_ast_t.materialize_temporary_expr_info = {
  1. mtei_decl_ref : decl_ref option;
}
type member_expr_info = Clang_ast_t.member_expr_info = {
  1. mei_is_arrow : bool;
  2. mei_performs_virtual_dispatch : bool;
  3. mei_name : named_decl_info;
  4. mei_decl_ref : decl_ref;
}
type namespace_decl_info = Clang_ast_t.namespace_decl_info = {
  1. ndi_is_inline : bool;
  2. ndi_original_namespace : decl_ref option;
}
type nested_name_specifier_loc = Clang_ast_t.nested_name_specifier_loc = {
  1. nnsl_kind : specifier_kind;
  2. nnsl_ref : decl_ref option;
}
type namespace_alias_decl_info = Clang_ast_t.namespace_alias_decl_info = {
  1. nadi_namespace_loc : source_location;
  2. nadi_target_name_loc : source_location;
  3. nadi_nested_name_specifier_locs : nested_name_specifier_loc list;
  4. nadi_namespace : decl_ref;
}
type obj_c_category_decl_info = Clang_ast_t.obj_c_category_decl_info = {
  1. odi_class_interface : decl_ref option;
  2. odi_implementation : decl_ref option;
  3. odi_protocols : decl_ref list;
}
type obj_c_category_impl_decl_info = Clang_ast_t.obj_c_category_impl_decl_info = {
  1. ocidi_class_interface : decl_ref option;
  2. ocidi_category_decl : decl_ref option;
}
type obj_c_compatible_alias_decl_info = Clang_ast_t.obj_c_compatible_alias_decl_info = {
  1. ocadi_class_interface : decl_ref option;
}
type obj_c_interface_decl_info = Clang_ast_t.obj_c_interface_decl_info = {
  1. otdi_super : decl_ref option;
  2. otdi_implementation : decl_ref option;
  3. otdi_protocols : decl_ref list;
  4. otdi_known_categories : decl_ref list;
}
type obj_c_ivar_ref_expr_info = Clang_ast_t.obj_c_ivar_ref_expr_info = {
  1. ovrei_decl_ref : decl_ref;
  2. ovrei_pointer : pointer;
  3. ovrei_is_free_ivar : bool;
}
type obj_c_property_decl_info = Clang_ast_t.obj_c_property_decl_info = {
  1. opdi_qual_type : qual_type;
  2. opdi_getter_method : decl_ref option;
  3. opdi_setter_method : decl_ref option;
  4. opdi_ivar_decl : decl_ref option;
  5. opdi_property_control : obj_c_property_control;
  6. opdi_property_attributes : property_attribute list;
}
type obj_c_property_impl_decl_info = Clang_ast_t.obj_c_property_impl_decl_info = {
  1. opidi_implementation : property_implementation;
  2. opidi_property_decl : decl_ref option;
  3. opidi_ivar_decl : decl_ref option;
}
type obj_c_protocol_decl_info = Clang_ast_t.obj_c_protocol_decl_info = {
  1. opcdi_protocols : decl_ref list;
}
type objc_encode_expr_info = Clang_ast_t.objc_encode_expr_info = {
  1. oeei_qual_type : qual_type;
  2. oeei_raw : string;
}
type objc_object_type_info = Clang_ast_t.objc_object_type_info = {
  1. ooti_base_type : type_ptr;
  2. ooti_protocol_decls_ptr : pointer list;
  3. ooti_type_args : qual_type list;
}
type overload_expr_info = Clang_ast_t.overload_expr_info = {
  1. oei_decls : decl_ref list;
  2. oei_name : declaration_name;
}
type params_type_info = Clang_ast_t.params_type_info = {
  1. pti_params_type : qual_type list;
}
type property_ref_kind = Clang_ast_t.property_ref_kind
type obj_c_property_ref_expr_info = Clang_ast_t.obj_c_property_ref_expr_info = {
  1. oprei_kind : property_ref_kind;
  2. oprei_is_super_receiver : bool;
  3. oprei_is_messaging_getter : bool;
  4. oprei_is_messaging_setter : bool;
}
type receiver_kind = Clang_ast_t.receiver_kind
type obj_c_message_expr_info = Clang_ast_t.obj_c_message_expr_info = {
  1. omei_selector : string;
  2. omei_is_definition_found : bool;
  3. omei_decl_pointer : pointer option;
  4. omei_receiver_kind : receiver_kind;
}
type type_info = Clang_ast_t.type_info = {
  1. ti_pointer : pointer;
  2. ti_desugared_type : type_ptr option;
}
type type_trait_info = Clang_ast_t.type_trait_info = {
  1. xtti_value : bool;
}
type typedef_decl_info = Clang_ast_t.typedef_decl_info = {
  1. tdi_is_module_private : bool;
}
type typedef_type_info = Clang_ast_t.typedef_type_info = {
  1. tti_child_type : qual_type;
  2. tti_decl_ptr : pointer;
}
type c_type = Clang_ast_t.c_type =
  1. | NoneType of type_info
  2. | AdjustedType of type_info * qual_type
  3. | DecayedType of type_info * qual_type
  4. | ConstantArrayType of type_info * array_type_info * int
  5. | DependentSizedArrayType of type_info * array_type_info
  6. | IncompleteArrayType of type_info * array_type_info
  7. | VariableArrayType of type_info * array_type_info * pointer
  8. | AtomicType of type_info * qual_type
  9. | AttributedType of type_info * attr_type_info
  10. | BTFTagAttributedType of type_info
  11. | BitIntType of type_info
  12. | BlockPointerType of type_info * qual_type
  13. | BuiltinType of type_info * builtin_type_kind
  14. | ComplexType of type_info
  15. | DecltypeType of type_info * qual_type
  16. | AutoType of type_info
  17. | DeducedTemplateSpecializationType of type_info
  18. | DependentAddressSpaceType of type_info
  19. | DependentBitIntType of type_info
  20. | DependentNameType of type_info
  21. | DependentSizedExtVectorType of type_info
  22. | DependentTemplateSpecializationType of type_info
  23. | DependentVectorType of type_info
  24. | ElaboratedType of type_info
  25. | FunctionNoProtoType of type_info * function_type_info
  26. | FunctionProtoType of type_info * function_type_info * params_type_info
  27. | InjectedClassNameType of type_info
  28. | MacroQualifiedType of type_info
  29. | ConstantMatrixType of type_info
  30. | DependentSizedMatrixType of type_info
  31. | MemberPointerType of type_info * qual_type
  32. | ObjCObjectPointerType of type_info * qual_type
  33. | ObjCObjectType of type_info * objc_object_type_info
  34. | ObjCInterfaceType of type_info * pointer
  35. | ObjCTypeParamType of type_info
  36. | PackExpansionType of type_info
  37. | ParenType of type_info * qual_type
  38. | PipeType of type_info
  39. | PointerType of type_info * qual_type
  40. | LValueReferenceType of type_info * qual_type
  41. | RValueReferenceType of type_info * qual_type
  42. | SubstTemplateTypeParmPackType of type_info
  43. | SubstTemplateTypeParmType of type_info
  44. | EnumType of type_info * pointer
  45. | RecordType of type_info * pointer
  46. | TemplateSpecializationType of type_info
  47. | TemplateTypeParmType of type_info
  48. | TypeOfExprType of type_info
  49. | TypeOfType of type_info
  50. | TypedefType of type_info * typedef_type_info
  51. | UnaryTransformType of type_info
  52. | UnresolvedUsingType of type_info
  53. | UsingType of type_info
  54. | VectorType of type_info
  55. | ExtVectorType of type_info
type translation_unit_decl_info = Clang_ast_t.translation_unit_decl_info = {
  1. tudi_input_path : source_file;
  2. tudi_input_kind : input_kind;
  3. tudi_integer_type_widths : integer_type_widths;
  4. tudi_is_objc_arc_on : bool;
  5. tudi_types : c_type list;
}
type unary_expr_or_type_trait_kind = Clang_ast_t.unary_expr_or_type_trait_kind
type unary_expr_or_type_trait_expr_info = Clang_ast_t.unary_expr_or_type_trait_expr_info = {
  1. uttei_kind : unary_expr_or_type_trait_kind;
  2. uttei_qual_type : qual_type;
}
type unary_operator_kind = Clang_ast_t.unary_operator_kind
type unary_operator_info = Clang_ast_t.unary_operator_info = {
  1. uoi_kind : unary_operator_kind;
  2. uoi_is_postfix : bool;
}
type unresolved_lookup_expr_info = Clang_ast_t.unresolved_lookup_expr_info = {
  1. ulei_requires_ADL : bool;
  2. ulei_is_overloaded : bool;
  3. ulei_naming_class : decl_ref option;
}
type using_directive_decl_info = Clang_ast_t.using_directive_decl_info = {
  1. uddi_using_location : source_location;
  2. uddi_namespace_key_location : source_location;
  3. uddi_nested_name_specifier_locs : nested_name_specifier_loc list;
  4. uddi_nominated_namespace : decl_ref option;
}
type value_kind = Clang_ast_t.value_kind
type expr_info = Clang_ast_t.expr_info = {
  1. ei_qual_type : qual_type;
  2. ei_value_kind : value_kind;
  3. ei_object_kind : object_kind;
}
type version_tuple = Clang_ast_t.version_tuple = {
  1. vt_major : int;
  2. vt_minor : int option;
  3. vt_subminor : int option;
  4. vt_build : int option;
}
type availability_attr_info = Clang_ast_t.availability_attr_info = {
  1. aai_platform : string option;
  2. aai_introduced : version_tuple;
}
type visibility_attr = Clang_ast_t.visibility_attr =
  1. | DefaultVisibility
  2. | HiddenVisibility
  3. | ProtectedVisibility
type attribute = Clang_ast_t.attribute
type template_instantiation_arg_info = Clang_ast_t.template_instantiation_arg_info
type comment = Clang_ast_t.comment =
  1. | VerbatimBlockLineComment of comment_info * comment list
  2. | TextComment of comment_info * comment list
  3. | InlineCommandComment of comment_info * comment list
  4. | HTMLStartTagComment of comment_info * comment list
  5. | HTMLEndTagComment of comment_info * comment list
  6. | FullComment of comment_info * comment list
  7. | ParagraphComment of comment_info * comment list
  8. | BlockCommandComment of comment_info * comment list
  9. | VerbatimLineComment of comment_info * comment list
  10. | VerbatimBlockComment of comment_info * comment list
  11. | TParamCommandComment of comment_info * comment list
  12. | ParamCommandComment of comment_info * comment list
type template_specialization_info = Clang_ast_t.template_specialization_info = {
  1. tsi_template_decl : pointer;
  2. tsi_specialization_args : template_instantiation_arg_info list;
}
type decl_info = Clang_ast_t.decl_info = {
  1. di_pointer : pointer;
  2. di_parent_pointer : pointer option;
  3. di_source_range : source_range;
  4. di_owning_module : string option;
  5. di_is_hidden : bool;
  6. di_is_implicit : bool;
  7. di_is_used : bool;
  8. di_is_this_declaration_referenced : bool;
  9. di_is_invalid_decl : bool;
  10. di_attributes : attribute list;
  11. di_full_comment : comment option;
  12. di_access : access_specifier;
}
type block_captured_variable = Clang_ast_t.block_captured_variable = {
  1. bcv_is_by_ref : bool;
  2. bcv_is_nested : bool;
  3. bcv_variable : decl_ref option;
  4. bcv_copy_expr : stmt option;
}
and block_decl_info = Clang_ast_t.block_decl_info = {
  1. bdi_parameters : decl list;
  2. bdi_is_variadic : bool;
  3. bdi_captures_cxx_this : bool;
  4. bdi_captured_variables : block_captured_variable list;
  5. bdi_body : stmt option;
  6. bdi_mangled_name : string;
}
and coreturn_stmt_info = Clang_ast_t.coreturn_stmt_info = {
  1. coret_operand : stmt option;
  2. coret_promise_call : stmt option;
}
and coro_body_stmt_info = Clang_ast_t.coro_body_stmt_info = {
  1. cbs_body : pointer;
  2. cbs_promise_decl_stmt : pointer;
  3. cbs_return_value : stmt;
}
and cxx_catch_stmt_info = Clang_ast_t.cxx_catch_stmt_info = {
  1. xcsi_variable : decl option;
}
and cxx_ctor_initializer = Clang_ast_t.cxx_ctor_initializer = {
  1. xci_subject : cxx_ctor_initializer_subject;
  2. xci_source_range : source_range;
  3. xci_init_expr : stmt option;
}
and cxx_default_expr_info = Clang_ast_t.cxx_default_expr_info = {
  1. xdaei_init_expr : stmt option;
}
and cxx_method_decl_info = Clang_ast_t.cxx_method_decl_info = {
  1. xmdi_is_virtual : bool;
  2. xmdi_is_static : bool;
  3. xmdi_is_const : bool;
  4. xmdi_is_copy_assignment : bool;
  5. xmdi_is_copy_constructor : bool;
  6. xmdi_is_move_constructor : bool;
  7. xmdi_cxx_ctor_initializers : cxx_ctor_initializer list;
  8. xmdi_overriden_methods : decl_ref list;
}
and cxx_record_decl_info = Clang_ast_t.cxx_record_decl_info = {
  1. xrdi_bases : type_ptr list;
  2. xrdi_vbases : type_ptr list;
  3. xrdi_transitive_vbases : type_ptr list;
  4. xrdi_is_pod : bool;
  5. xrdi_is_trivially_copyable : bool;
  6. xrdi_destructor : decl_ref option;
  7. xrdi_lambda_call_operator : decl_ref option;
  8. xrdi_lambda_captures : lambda_capture_info list;
}
and decl = Clang_ast_t.decl =
  1. | TranslationUnitDecl of decl_info * decl list * decl_context_info * translation_unit_decl_info
  2. | RequiresExprBodyDecl of decl_info
  3. | LinkageSpecDecl of decl_info * decl list * decl_context_info
  4. | ExternCContextDecl of decl_info
  5. | ExportDecl of decl_info
  6. | CapturedDecl of decl_info * decl list * decl_context_info
  7. | BlockDecl of decl_info * block_decl_info
  8. | TopLevelStmtDecl of decl_info
  9. | StaticAssertDecl of decl_info
  10. | PragmaDetectMismatchDecl of decl_info
  11. | PragmaCommentDecl of decl_info
  12. | ObjCPropertyImplDecl of decl_info * obj_c_property_impl_decl_info
  13. | OMPThreadPrivateDecl of decl_info
  14. | OMPRequiresDecl of decl_info
  15. | OMPAllocateDecl of decl_info
  16. | ObjCMethodDecl of decl_info * named_decl_info * obj_c_method_decl_info
  17. | ObjCProtocolDecl of decl_info * named_decl_info * decl list * decl_context_info * obj_c_protocol_decl_info
  18. | ObjCInterfaceDecl of decl_info * named_decl_info * decl list * decl_context_info * obj_c_interface_decl_info
  19. | ObjCImplementationDecl of decl_info * named_decl_info * decl list * decl_context_info * obj_c_implementation_decl_info
  20. | ObjCCategoryImplDecl of decl_info * named_decl_info * decl list * decl_context_info * obj_c_category_impl_decl_info
  21. | ObjCCategoryDecl of decl_info * named_decl_info * decl list * decl_context_info * obj_c_category_decl_info
  22. | NamespaceDecl of decl_info * named_decl_info * decl list * decl_context_info * namespace_decl_info
  23. | HLSLBufferDecl of decl_info * named_decl_info
  24. | OMPDeclareReductionDecl of decl_info * named_decl_info * qual_type
  25. | OMPDeclareMapperDecl of decl_info * named_decl_info * qual_type
  26. | UnresolvedUsingValueDecl of decl_info * named_decl_info * qual_type
  27. | UnnamedGlobalConstantDecl of decl_info * named_decl_info * qual_type
  28. | TemplateParamObjectDecl of decl_info * named_decl_info * qual_type
  29. | MSGuidDecl of decl_info * named_decl_info * qual_type
  30. | IndirectFieldDecl of decl_info * named_decl_info * qual_type * decl_ref list
  31. | EnumConstantDecl of decl_info * named_decl_info * qual_type * enum_constant_decl_info
  32. | FunctionDecl of decl_info * named_decl_info * qual_type * function_decl_info
  33. | CXXMethodDecl of decl_info * named_decl_info * qual_type * function_decl_info * cxx_method_decl_info
  34. | CXXDestructorDecl of decl_info * named_decl_info * qual_type * function_decl_info * cxx_method_decl_info
  35. | CXXConversionDecl of decl_info * named_decl_info * qual_type * function_decl_info * cxx_method_decl_info
  36. | CXXConstructorDecl of decl_info * named_decl_info * qual_type * function_decl_info * cxx_method_decl_info
  37. | CXXDeductionGuideDecl of decl_info * named_decl_info * qual_type * function_decl_info
  38. | VarDecl of decl_info * named_decl_info * qual_type * var_decl_info
  39. | VarTemplateSpecializationDecl of template_instantiation_arg_info list * decl_info * named_decl_info * qual_type * var_decl_info
  40. | VarTemplatePartialSpecializationDecl of template_instantiation_arg_info list * decl_info * named_decl_info * qual_type * var_decl_info
  41. | ParmVarDecl of decl_info * named_decl_info * qual_type * var_decl_info
  42. | OMPCapturedExprDecl of decl_info * named_decl_info * qual_type * var_decl_info
  43. | ImplicitParamDecl of decl_info * named_decl_info * qual_type * var_decl_info
  44. | DecompositionDecl of decl_info * named_decl_info * qual_type * var_decl_info * decl list
  45. | NonTypeTemplateParmDecl of decl_info * named_decl_info * qual_type
  46. | MSPropertyDecl of decl_info * named_decl_info * qual_type
  47. | FieldDecl of decl_info * named_decl_info * qual_type * field_decl_info
  48. | ObjCIvarDecl of decl_info * named_decl_info * qual_type * field_decl_info * obj_c_ivar_decl_info
  49. | ObjCAtDefsFieldDecl of decl_info * named_decl_info * qual_type * field_decl_info
  50. | BindingDecl of decl_info * named_decl_info * qual_type * holding_var_decl_info
  51. | UsingShadowDecl of decl_info * named_decl_info
  52. | ConstructorUsingShadowDecl of decl_info * named_decl_info
  53. | UsingPackDecl of decl_info * named_decl_info
  54. | UsingDirectiveDecl of decl_info * named_decl_info * using_directive_decl_info
  55. | UnresolvedUsingIfExistsDecl of decl_info * named_decl_info
  56. | RecordDecl of decl_info * named_decl_info * type_ptr * decl list * decl_context_info * tag_kind * record_decl_info
  57. | CXXRecordDecl of decl_info * named_decl_info * type_ptr * decl list * decl_context_info * tag_kind * record_decl_info * cxx_record_decl_info
  58. | ClassTemplateSpecializationDecl of decl_info * named_decl_info * type_ptr * decl list * decl_context_info * tag_kind * record_decl_info * cxx_record_decl_info * string * source_location * template_specialization_info
  59. | ClassTemplatePartialSpecializationDecl of decl_info * named_decl_info * type_ptr * decl list * decl_context_info * tag_kind * record_decl_info * cxx_record_decl_info * string * source_location * template_specialization_info
  60. | EnumDecl of decl_info * named_decl_info * type_ptr * decl list * decl_context_info * tag_kind * enum_decl_info
  61. | UnresolvedUsingTypenameDecl of decl_info * named_decl_info * type_ptr
  62. | TypedefDecl of decl_info * named_decl_info * type_ptr * typedef_decl_info
  63. | TypeAliasDecl of decl_info * named_decl_info * type_ptr
  64. | ObjCTypeParamDecl of decl_info * named_decl_info * type_ptr
  65. | TemplateTypeParmDecl of decl_info * named_decl_info * type_ptr
  66. | TemplateTemplateParmDecl of decl_info * named_decl_info
  67. | VarTemplateDecl of decl_info * named_decl_info
  68. | TypeAliasTemplateDecl of decl_info * named_decl_info
  69. | FunctionTemplateDecl of decl_info * named_decl_info * template_decl_info
  70. | ClassTemplateDecl of decl_info * named_decl_info * template_decl_info
  71. | ConceptDecl of decl_info * named_decl_info
  72. | BuiltinTemplateDecl of decl_info * named_decl_info
  73. | ObjCPropertyDecl of decl_info * named_decl_info * obj_c_property_decl_info
  74. | ObjCCompatibleAliasDecl of decl_info * named_decl_info * obj_c_compatible_alias_decl_info
  75. | NamespaceAliasDecl of decl_info * named_decl_info * namespace_alias_decl_info
  76. | LabelDecl of decl_info * named_decl_info
  77. | UsingEnumDecl of decl_info * named_decl_info
  78. | UsingDecl of decl_info * named_decl_info
  79. | LifetimeExtendedTemporaryDecl of decl_info
  80. | ImportDecl of decl_info * string
  81. | ImplicitConceptSpecializationDecl of decl_info
  82. | FriendTemplateDecl of decl_info
  83. | FriendDecl of decl_info * friend_info
  84. | FileScopeAsmDecl of decl_info
  85. | EmptyDecl of decl_info
  86. | AccessSpecDecl of decl_info
and enum_constant_decl_info = Clang_ast_t.enum_constant_decl_info = {
  1. ecdi_init_expr : stmt option;
}
and field_decl_info = Clang_ast_t.field_decl_info = {
  1. fldi_is_mutable : bool;
  2. fldi_is_module_private : bool;
  3. fldi_init_expr : stmt option;
  4. fldi_bit_width_expr : stmt option;
}
and friend_info = Clang_ast_t.friend_info
and function_decl_info = Clang_ast_t.function_decl_info = {
  1. fdi_mangled_name : string option;
  2. fdi_is_cpp : bool;
  3. fdi_is_inline : bool;
  4. fdi_is_module_private : bool;
  5. fdi_is_pure : bool;
  6. fdi_is_deleted : bool;
  7. fdi_is_no_return : bool;
  8. fdi_is_constexpr : bool;
  9. fdi_is_variadic : bool;
  10. fdi_is_static : bool;
  11. fdi_parameters : decl list;
  12. fdi_decl_ptr_with_body : pointer option;
  13. fdi_body : stmt option;
  14. fdi_template_specialization : template_specialization_info option;
  15. fdi_point_of_instantiation : source_location option;
}
and generic_selection_info = Clang_ast_t.generic_selection_info = {
  1. gse_value : stmt option;
}
and holding_var_decl_info = Clang_ast_t.holding_var_decl_info = {
  1. hvdi_binding_var : var_decl_info option;
}
and if_stmt_info = Clang_ast_t.if_stmt_info = {
  1. isi_init : pointer option;
  2. isi_cond_var : stmt option;
  3. isi_cond : pointer;
  4. isi_then : pointer;
  5. isi_else : (pointer * source_location) option;
}
and lambda_capture_info = Clang_ast_t.lambda_capture_info = {
  1. lci_capture_kind : lambda_capture_kind;
  2. lci_capture_this : bool;
  3. lci_capture_variable : bool;
  4. lci_capture_VLAtype : bool;
  5. lci_init_captured_vardecl : decl option;
  6. lci_captured_var : decl_ref option;
  7. lci_is_implicit : bool;
  8. lci_location : source_range;
  9. lci_is_pack_expansion : bool;
}
and lambda_expr_info = Clang_ast_t.lambda_expr_info = {
  1. lei_lambda_decl : decl;
}
and obj_c_implementation_decl_info = Clang_ast_t.obj_c_implementation_decl_info = {
  1. oidi_super : decl_ref option;
  2. oidi_class_interface : decl_ref option;
  3. oidi_ivar_initializers : cxx_ctor_initializer list;
}
and obj_c_message_expr_kind = Clang_ast_t.obj_c_message_expr_kind
and obj_c_method_decl_info = Clang_ast_t.obj_c_method_decl_info = {
  1. omdi_is_instance_method : bool;
  2. omdi_result_type : qual_type;
  3. omdi_is_property_accessor : bool;
  4. omdi_property_decl : decl_ref option;
  5. omdi_parameters : decl list;
  6. omdi_implicit_parameters : decl list;
  7. omdi_is_variadic : bool;
  8. omdi_is_overriding : bool;
  9. omdi_is_optional : bool;
  10. omdi_body : stmt option;
  11. omdi_mangled_name : string;
}
and opaque_value_expr_info = Clang_ast_t.opaque_value_expr_info = {
  1. ovei_source_expr : stmt option;
}
and stmt = Clang_ast_t.stmt =
  1. | WhileStmt of stmt_info * stmt list
  2. | LabelStmt of stmt_info * stmt list * string
  3. | VAArgExpr of stmt_info * stmt list * expr_info
  4. | UnaryOperator of stmt_info * stmt list * expr_info * unary_operator_info
  5. | UnaryExprOrTypeTraitExpr of stmt_info * stmt list * expr_info * unary_expr_or_type_trait_expr_info
  6. | TypoExpr of stmt_info * stmt list * expr_info
  7. | TypeTraitExpr of stmt_info * stmt list * expr_info * type_trait_info
  8. | SubstNonTypeTemplateParmPackExpr of stmt_info * stmt list * expr_info
  9. | SubstNonTypeTemplateParmExpr of stmt_info * stmt list * expr_info
  10. | StringLiteral of stmt_info * stmt list * expr_info * string list
  11. | StmtExpr of stmt_info * stmt list * expr_info
  12. | SourceLocExpr of stmt_info * stmt list * expr_info
  13. | SizeOfPackExpr of stmt_info * stmt list * expr_info
  14. | ShuffleVectorExpr of stmt_info * stmt list * expr_info
  15. | SYCLUniqueStableNameExpr of stmt_info * stmt list * expr_info
  16. | RequiresExpr of stmt_info * stmt list * expr_info
  17. | RecoveryExpr of stmt_info * stmt list * expr_info
  18. | PseudoObjectExpr of stmt_info * stmt list * expr_info
  19. | PredefinedExpr of stmt_info * stmt list * expr_info * predefined_expr_type
  20. | ParenListExpr of stmt_info * stmt list * expr_info
  21. | ParenExpr of stmt_info * stmt list * expr_info
  22. | PackExpansionExpr of stmt_info * stmt list * expr_info
  23. | UnresolvedMemberExpr of stmt_info * stmt list * expr_info * overload_expr_info
  24. | UnresolvedLookupExpr of stmt_info * stmt list * expr_info * overload_expr_info * unresolved_lookup_expr_info
  25. | OpaqueValueExpr of stmt_info * stmt list * expr_info * opaque_value_expr_info
  26. | OffsetOfExpr of stmt_info * stmt list * expr_info * offset_of_expr_info
  27. | ObjCSubscriptRefExpr of stmt_info * stmt list * expr_info * obj_c_subscript_ref_expr_info
  28. | ObjCStringLiteral of stmt_info * stmt list * expr_info
  29. | ObjCSelectorExpr of stmt_info * stmt list * expr_info * selector
  30. | ObjCProtocolExpr of stmt_info * stmt list * expr_info * decl_ref
  31. | ObjCPropertyRefExpr of stmt_info * stmt list * expr_info * obj_c_property_ref_expr_info
  32. | ObjCMessageExpr of stmt_info * stmt list * expr_info * obj_c_message_expr_info
  33. | ObjCIvarRefExpr of stmt_info * stmt list * expr_info * obj_c_ivar_ref_expr_info
  34. | ObjCIsaExpr of stmt_info * stmt list * expr_info
  35. | ObjCIndirectCopyRestoreExpr of stmt_info * stmt list * expr_info
  36. | ObjCEncodeExpr of stmt_info * stmt list * expr_info * objc_encode_expr_info
  37. | ObjCDictionaryLiteral of stmt_info * stmt list * expr_info * obj_c_dictionary_literal_expr_info
  38. | ObjCBoxedExpr of stmt_info * stmt list * expr_info * objc_boxed_expr_info
  39. | ObjCBoolLiteralExpr of stmt_info * stmt list * expr_info * int
  40. | ObjCAvailabilityCheckExpr of stmt_info * stmt list * expr_info * obj_c_availability_check_expr_info
  41. | ObjCArrayLiteral of stmt_info * stmt list * expr_info * obj_c_array_literal_expr_info
  42. | OMPIteratorExpr of stmt_info * stmt list * expr_info
  43. | OMPArrayShapingExpr of stmt_info * stmt list * expr_info
  44. | OMPArraySectionExpr of stmt_info * stmt list * expr_info
  45. | NoInitExpr of stmt_info * stmt list * expr_info
  46. | MemberExpr of stmt_info * stmt list * expr_info * member_expr_info
  47. | MatrixSubscriptExpr of stmt_info * stmt list * expr_info
  48. | MaterializeTemporaryExpr of stmt_info * stmt list * expr_info * materialize_temporary_expr_info
  49. | MSPropertySubscriptExpr of stmt_info * stmt list * expr_info
  50. | MSPropertyRefExpr of stmt_info * stmt list * expr_info
  51. | LambdaExpr of stmt_info * stmt list * expr_info * lambda_expr_info
  52. | IntegerLiteral of stmt_info * stmt list * expr_info * integer_literal_info
  53. | InitListExpr of stmt_info * stmt list * expr_info
  54. | ImplicitValueInitExpr of stmt_info * stmt list * expr_info
  55. | ImaginaryLiteral of stmt_info * stmt list * expr_info
  56. | GenericSelectionExpr of stmt_info * stmt list * expr_info * generic_selection_info
  57. | GNUNullExpr of stmt_info * stmt list * expr_info
  58. | FunctionParmPackExpr of stmt_info * stmt list * expr_info
  59. | ExprWithCleanups of stmt_info * stmt list * expr_info * expr_with_cleanups_info
  60. | ConstantExpr of stmt_info * stmt list * expr_info
  61. | FloatingLiteral of stmt_info * stmt list * expr_info * string
  62. | FixedPointLiteral of stmt_info * stmt list * expr_info * string
  63. | ExtVectorElementExpr of stmt_info * stmt list * expr_info
  64. | ExpressionTraitExpr of stmt_info * stmt list * expr_info
  65. | DesignatedInitUpdateExpr of stmt_info * stmt list * expr_info
  66. | DesignatedInitExpr of stmt_info * stmt list * expr_info
  67. | DependentScopeDeclRefExpr of stmt_info * stmt list * expr_info
  68. | DependentCoawaitExpr of stmt_info * stmt list * expr_info
  69. | DeclRefExpr of stmt_info * stmt list * expr_info * decl_ref_expr_info
  70. | CoyieldExpr of stmt_info * stmt list * expr_info
  71. | CoawaitExpr of stmt_info * stmt list * expr_info
  72. | ConvertVectorExpr of stmt_info * stmt list * expr_info
  73. | ConceptSpecializationExpr of stmt_info * stmt list * expr_info
  74. | CompoundLiteralExpr of stmt_info * stmt list * expr_info
  75. | ChooseExpr of stmt_info * stmt list * expr_info
  76. | CharacterLiteral of stmt_info * stmt list * expr_info * int
  77. | ImplicitCastExpr of stmt_info * stmt list * expr_info * cast_expr_info * bool
  78. | ObjCBridgedCastExpr of stmt_info * stmt list * expr_info * cast_expr_info * qual_type * obj_c_bridged_cast_expr_info
  79. | CXXStaticCastExpr of stmt_info * stmt list * expr_info * cast_expr_info * qual_type * string
  80. | CXXReinterpretCastExpr of stmt_info * stmt list * expr_info * cast_expr_info * qual_type * string
  81. | CXXDynamicCastExpr of stmt_info * stmt list * expr_info * cast_expr_info * qual_type * string
  82. | CXXConstCastExpr of stmt_info * stmt list * expr_info * cast_expr_info * qual_type * string
  83. | CXXAddrspaceCastExpr of stmt_info * stmt list * expr_info * cast_expr_info * qual_type * string
  84. | CXXFunctionalCastExpr of stmt_info * stmt list * expr_info * cast_expr_info * qual_type
  85. | CStyleCastExpr of stmt_info * stmt list * expr_info * cast_expr_info * qual_type
  86. | BuiltinBitCastExpr of stmt_info * stmt list * expr_info * cast_expr_info * qual_type
  87. | CallExpr of stmt_info * stmt list * expr_info
  88. | UserDefinedLiteral of stmt_info * stmt list * expr_info
  89. | CXXOperatorCallExpr of stmt_info * stmt list * expr_info
  90. | CXXMemberCallExpr of stmt_info * stmt list * expr_info
  91. | CUDAKernelCallExpr of stmt_info * stmt list * expr_info
  92. | CXXUuidofExpr of stmt_info * stmt list * expr_info
  93. | CXXUnresolvedConstructExpr of stmt_info * stmt list * expr_info
  94. | CXXTypeidExpr of stmt_info * stmt list * expr_info
  95. | CXXThrowExpr of stmt_info * stmt list * expr_info
  96. | CXXThisExpr of stmt_info * stmt list * expr_info
  97. | CXXStdInitializerListExpr of stmt_info * stmt list * expr_info
  98. | CXXScalarValueInitExpr of stmt_info * stmt list * expr_info
  99. | CXXRewrittenBinaryOperator of stmt_info * stmt list * expr_info
  100. | CXXPseudoDestructorExpr of stmt_info * stmt list * expr_info
  101. | CXXParenListInitExpr of stmt_info * stmt list * expr_info
  102. | CXXNullPtrLiteralExpr of stmt_info * stmt list * expr_info
  103. | CXXNoexceptExpr of stmt_info * stmt list * expr_info * cxx_noexcept_expr_info
  104. | CXXNewExpr of stmt_info * stmt list * expr_info * cxx_new_expr_info
  105. | CXXInheritedCtorInitExpr of stmt_info * stmt list * expr_info * cxx_construct_expr_info
  106. | CXXFoldExpr of stmt_info * stmt list * expr_info
  107. | CXXDependentScopeMemberExpr of stmt_info * stmt list * expr_info
  108. | CXXDeleteExpr of stmt_info * stmt list * expr_info * cxx_delete_expr_info
  109. | CXXDefaultInitExpr of stmt_info * stmt list * expr_info * cxx_default_expr_info
  110. | CXXDefaultArgExpr of stmt_info * stmt list * expr_info * cxx_default_expr_info
  111. | CXXConstructExpr of stmt_info * stmt list * expr_info * cxx_construct_expr_info
  112. | CXXTemporaryObjectExpr of stmt_info * stmt list * expr_info * cxx_construct_expr_info
  113. | CXXBoolLiteralExpr of stmt_info * stmt list * expr_info * int
  114. | CXXBindTemporaryExpr of stmt_info * stmt list * expr_info * cxx_bind_temporary_expr_info
  115. | BlockExpr of stmt_info * stmt list * expr_info * decl
  116. | BinaryOperator of stmt_info * stmt list * expr_info * binary_operator_info
  117. | CompoundAssignOperator of stmt_info * stmt list * expr_info * binary_operator_info * compound_assign_operator_info
  118. | AtomicExpr of stmt_info * stmt list * expr_info * atomic_expr_info
  119. | AsTypeExpr of stmt_info * stmt list * expr_info
  120. | ArrayTypeTraitExpr of stmt_info * stmt list * expr_info
  121. | ArraySubscriptExpr of stmt_info * stmt list * expr_info
  122. | ArrayInitLoopExpr of stmt_info * stmt list * expr_info
  123. | ArrayInitIndexExpr of stmt_info * stmt list * expr_info
  124. | AddrLabelExpr of stmt_info * stmt list * expr_info * addr_label_expr_info
  125. | ConditionalOperator of stmt_info * stmt list * expr_info
  126. | BinaryConditionalOperator of stmt_info * stmt list * expr_info
  127. | AttributedStmt of stmt_info * stmt list * attribute list
  128. | SwitchStmt of stmt_info * stmt list * switch_stmt_info
  129. | DefaultStmt of stmt_info * stmt list
  130. | CaseStmt of stmt_info * stmt list
  131. | SEHTryStmt of stmt_info * stmt list
  132. | SEHLeaveStmt of stmt_info * stmt list
  133. | SEHFinallyStmt of stmt_info * stmt list
  134. | SEHExceptStmt of stmt_info * stmt list
  135. | ReturnStmt of stmt_info * stmt list
  136. | ObjCForCollectionStmt of stmt_info * stmt list
  137. | ObjCAutoreleasePoolStmt of stmt_info * stmt list
  138. | ObjCAtTryStmt of stmt_info * stmt list
  139. | ObjCAtThrowStmt of stmt_info * stmt list
  140. | ObjCAtSynchronizedStmt of stmt_info * stmt list
  141. | ObjCAtFinallyStmt of stmt_info * stmt list
  142. | ObjCAtCatchStmt of stmt_info * stmt list * obj_c_message_expr_kind
  143. | OMPTeamsDirective of stmt_info * stmt list
  144. | OMPTaskyieldDirective of stmt_info * stmt list
  145. | OMPTaskwaitDirective of stmt_info * stmt list
  146. | OMPTaskgroupDirective of stmt_info * stmt list
  147. | OMPTaskDirective of stmt_info * stmt list
  148. | OMPTargetUpdateDirective of stmt_info * stmt list
  149. | OMPTargetTeamsDirective of stmt_info * stmt list
  150. | OMPTargetParallelForDirective of stmt_info * stmt list
  151. | OMPTargetParallelDirective of stmt_info * stmt list
  152. | OMPTargetExitDataDirective of stmt_info * stmt list
  153. | OMPTargetEnterDataDirective of stmt_info * stmt list
  154. | OMPTargetDirective of stmt_info * stmt list
  155. | OMPTargetDataDirective of stmt_info * stmt list
  156. | OMPSingleDirective of stmt_info * stmt list
  157. | OMPSectionsDirective of stmt_info * stmt list
  158. | OMPSectionDirective of stmt_info * stmt list
  159. | OMPScopeDirective of stmt_info * stmt list
  160. | OMPScanDirective of stmt_info * stmt list
  161. | OMPParallelSectionsDirective of stmt_info * stmt list
  162. | OMPParallelMasterDirective of stmt_info * stmt list
  163. | OMPParallelMaskedDirective of stmt_info * stmt list
  164. | OMPParallelDirective of stmt_info * stmt list
  165. | OMPOrderedDirective of stmt_info * stmt list
  166. | OMPMetaDirective of stmt_info * stmt list
  167. | OMPMasterDirective of stmt_info * stmt list
  168. | OMPMaskedDirective of stmt_info * stmt list
  169. | OMPUnrollDirective of stmt_info * stmt list
  170. | OMPTileDirective of stmt_info * stmt list
  171. | OMPTeamsGenericLoopDirective of stmt_info * stmt list
  172. | OMPTeamsDistributeSimdDirective of stmt_info * stmt list
  173. | OMPTeamsDistributeParallelForSimdDirective of stmt_info * stmt list
  174. | OMPTeamsDistributeParallelForDirective of stmt_info * stmt list
  175. | OMPTeamsDistributeDirective of stmt_info * stmt list
  176. | OMPTaskLoopSimdDirective of stmt_info * stmt list
  177. | OMPTaskLoopDirective of stmt_info * stmt list
  178. | OMPTargetTeamsGenericLoopDirective of stmt_info * stmt list
  179. | OMPTargetTeamsDistributeSimdDirective of stmt_info * stmt list
  180. | OMPTargetTeamsDistributeParallelForSimdDirective of stmt_info * stmt list
  181. | OMPTargetTeamsDistributeParallelForDirective of stmt_info * stmt list
  182. | OMPTargetTeamsDistributeDirective of stmt_info * stmt list
  183. | OMPTargetSimdDirective of stmt_info * stmt list
  184. | OMPTargetParallelGenericLoopDirective of stmt_info * stmt list
  185. | OMPTargetParallelForSimdDirective of stmt_info * stmt list
  186. | OMPSimdDirective of stmt_info * stmt list
  187. | OMPParallelMasterTaskLoopSimdDirective of stmt_info * stmt list
  188. | OMPParallelMasterTaskLoopDirective of stmt_info * stmt list
  189. | OMPParallelMaskedTaskLoopSimdDirective of stmt_info * stmt list
  190. | OMPParallelMaskedTaskLoopDirective of stmt_info * stmt list
  191. | OMPParallelGenericLoopDirective of stmt_info * stmt list
  192. | OMPParallelForSimdDirective of stmt_info * stmt list
  193. | OMPParallelForDirective of stmt_info * stmt list
  194. | OMPMasterTaskLoopSimdDirective of stmt_info * stmt list
  195. | OMPMasterTaskLoopDirective of stmt_info * stmt list
  196. | OMPMaskedTaskLoopSimdDirective of stmt_info * stmt list
  197. | OMPMaskedTaskLoopDirective of stmt_info * stmt list
  198. | OMPGenericLoopDirective of stmt_info * stmt list
  199. | OMPForSimdDirective of stmt_info * stmt list
  200. | OMPForDirective of stmt_info * stmt list
  201. | OMPDistributeSimdDirective of stmt_info * stmt list
  202. | OMPDistributeParallelForSimdDirective of stmt_info * stmt list
  203. | OMPDistributeParallelForDirective of stmt_info * stmt list
  204. | OMPDistributeDirective of stmt_info * stmt list
  205. | OMPInteropDirective of stmt_info * stmt list
  206. | OMPFlushDirective of stmt_info * stmt list
  207. | OMPErrorDirective of stmt_info * stmt list
  208. | OMPDispatchDirective of stmt_info * stmt list
  209. | OMPDepobjDirective of stmt_info * stmt list
  210. | OMPCriticalDirective of stmt_info * stmt list
  211. | OMPCancellationPointDirective of stmt_info * stmt list
  212. | OMPCancelDirective of stmt_info * stmt list
  213. | OMPBarrierDirective of stmt_info * stmt list
  214. | OMPAtomicDirective of stmt_info * stmt list
  215. | OMPCanonicalLoop of stmt_info * stmt list
  216. | NullStmt of stmt_info * stmt list
  217. | MSDependentExistsStmt of stmt_info * stmt list
  218. | IndirectGotoStmt of stmt_info * stmt list
  219. | IfStmt of stmt_info * stmt list * if_stmt_info
  220. | GotoStmt of stmt_info * stmt list * goto_stmt_info
  221. | ForStmt of stmt_info * stmt list
  222. | DoStmt of stmt_info * stmt list
  223. | DeclStmt of stmt_info * stmt list * decl list
  224. | CoroutineBodyStmt of stmt_info * stmt list * coro_body_stmt_info
  225. | CoreturnStmt of stmt_info * stmt list * coreturn_stmt_info
  226. | ContinueStmt of stmt_info * stmt list
  227. | CompoundStmt of stmt_info * stmt list
  228. | CapturedStmt of stmt_info * stmt list
  229. | CXXTryStmt of stmt_info * stmt list
  230. | CXXForRangeStmt of stmt_info * stmt list
  231. | CXXCatchStmt of stmt_info * stmt list * cxx_catch_stmt_info
  232. | BreakStmt of stmt_info * stmt list
  233. | MSAsmStmt of stmt_info * stmt list
  234. | GCCAsmStmt of stmt_info * stmt list
and switch_stmt_info = Clang_ast_t.switch_stmt_info = {
  1. ssi_init : pointer option;
  2. ssi_cond_var : stmt option;
  3. ssi_cond : pointer;
  4. ssi_body : pointer;
  5. ssi_is_all_enum_cases_covered : bool;
}
and template_decl_info = Clang_ast_t.template_decl_info = {
  1. tdi_specializations : decl list;
}
and var_decl_info = Clang_ast_t.var_decl_info = {
  1. vdi_is_global : bool;
  2. vdi_is_extern : bool;
  3. vdi_is_static : bool;
  4. vdi_is_static_local : bool;
  5. vdi_is_static_data_member : bool;
  6. vdi_is_constexpr : bool;
  7. vdi_is_init_ice : bool;
  8. vdi_init_expr : stmt option;
  9. vdi_is_init_expr_cxx11_constant : bool;
  10. vdi_parm_index_in_function : int option;
}
type lookup = Clang_ast_t.lookup = {
  1. lup_decl_name : string;
  2. lup_decl_refs : decl_ref list;
}
type lookups = Clang_ast_t.lookups = {
  1. lups_decl_ref : decl_ref;
  2. lups_primary_context_pointer : pointer option;
  3. lups_lookups : lookup list;
  4. lups_has_undeserialized_decls : bool;
}
val validate_access_specifier : Atdgen_runtime.Util.Validation.path -> access_specifier -> Atdgen_runtime.Util.Validation.error option

Validate a value of type access_specifier.

val validate_atomic_expr_kind : Atdgen_runtime.Util.Validation.path -> atomic_expr_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type atomic_expr_kind.

val create_atomic_expr_info : aei_kind:atomic_expr_kind -> unit -> atomic_expr_info

Create a record of type atomic_expr_info.

val validate_atomic_expr_info : Atdgen_runtime.Util.Validation.path -> atomic_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type atomic_expr_info.

val validate_attribute_kind : Atdgen_runtime.Util.Validation.path -> attribute_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type attribute_kind.

val validate_binary_operator_kind : Atdgen_runtime.Util.Validation.path -> binary_operator_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type binary_operator_kind.

val create_binary_operator_info : boi_kind:binary_operator_kind -> unit -> binary_operator_info

Create a record of type binary_operator_info.

val validate_binary_operator_info : Atdgen_runtime.Util.Validation.path -> binary_operator_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type binary_operator_info.

val validate_builtin_type_kind : Atdgen_runtime.Util.Validation.path -> builtin_type_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type builtin_type_kind.

val validate_cast_kind : Atdgen_runtime.Util.Validation.path -> cast_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type cast_kind.

val create_cxx_base_specifier : xbs_name:string -> ?xbs_virtual:bool -> unit -> cxx_base_specifier

Create a record of type cxx_base_specifier.

val validate_cxx_base_specifier : Atdgen_runtime.Util.Validation.path -> cxx_base_specifier -> Atdgen_runtime.Util.Validation.error option

Validate a value of type cxx_base_specifier.

val create_cast_expr_info : cei_cast_kind:cast_kind -> cei_base_path:cxx_base_specifier list -> unit -> cast_expr_info

Create a record of type cast_expr_info.

val validate_cast_expr_info : Atdgen_runtime.Util.Validation.path -> cast_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type cast_expr_info.

val create_cxx_noexcept_expr_info : ?xnee_value:bool -> unit -> cxx_noexcept_expr_info

Create a record of type cxx_noexcept_expr_info.

val validate_cxx_noexcept_expr_info : Atdgen_runtime.Util.Validation.path -> cxx_noexcept_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type cxx_noexcept_expr_info.

val create_decl_context_info : ?dci_has_external_lexical_storage:bool -> ?dci_has_external_visible_storage:bool -> unit -> decl_context_info

Create a record of type decl_context_info.

val validate_decl_context_info : Atdgen_runtime.Util.Validation.path -> decl_context_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type decl_context_info.

val validate_decl_kind : Atdgen_runtime.Util.Validation.path -> decl_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type decl_kind.

val validate_declaration_name_kind : Atdgen_runtime.Util.Validation.path -> declaration_name_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type declaration_name_kind.

val create_declaration_name : dn_kind:declaration_name_kind -> dn_name:string -> unit -> declaration_name

Create a record of type declaration_name.

val validate_declaration_name : Atdgen_runtime.Util.Validation.path -> declaration_name -> Atdgen_runtime.Util.Validation.error option

Validate a value of type declaration_name.

val validate_enum_decl_scope : Atdgen_runtime.Util.Validation.path -> enum_decl_scope -> Atdgen_runtime.Util.Validation.error option

Validate a value of type enum_decl_scope.

val create_enum_decl_info : ?edi_scope:enum_decl_scope -> ?edi_is_module_private:bool -> unit -> enum_decl_info

Create a record of type enum_decl_info.

val validate_enum_decl_info : Atdgen_runtime.Util.Validation.path -> enum_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type enum_decl_info.

val validate_input_kind : Atdgen_runtime.Util.Validation.path -> input_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type input_kind.

val create_integer_literal_info : ?ili_is_signed:bool -> ili_bitwidth:int -> ili_value:string -> unit -> integer_literal_info

Create a record of type integer_literal_info.

val validate_integer_literal_info : Atdgen_runtime.Util.Validation.path -> integer_literal_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type integer_literal_info.

val create_integer_type_widths : itw_char_type:int -> itw_short_type:int -> itw_int_type:int -> itw_long_type:int -> itw_longlong_type:int -> unit -> integer_type_widths

Create a record of type integer_type_widths.

val validate_integer_type_widths : Atdgen_runtime.Util.Validation.path -> integer_type_widths -> Atdgen_runtime.Util.Validation.error option

Validate a value of type integer_type_widths.

val validate_lambda_capture_kind : Atdgen_runtime.Util.Validation.path -> lambda_capture_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type lambda_capture_kind.

val create_named_decl_info : ni_name:string -> ni_qual_name:string list -> unit -> named_decl_info

Create a record of type named_decl_info.

val validate_named_decl_info : Atdgen_runtime.Util.Validation.path -> named_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type named_decl_info.

val validate_obj_c_access_control : Atdgen_runtime.Util.Validation.path -> obj_c_access_control -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_access_control.

val create_obj_c_availability_check_expr_info : ?oacei_version:string -> unit -> obj_c_availability_check_expr_info

Create a record of type obj_c_availability_check_expr_info.

val validate_obj_c_availability_check_expr_info : Atdgen_runtime.Util.Validation.path -> obj_c_availability_check_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_availability_check_expr_info.

val validate_obj_c_bridge_cast_kind : Atdgen_runtime.Util.Validation.path -> obj_c_bridge_cast_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_bridge_cast_kind.

val create_obj_c_bridged_cast_expr_info : obcei_cast_kind:obj_c_bridge_cast_kind -> unit -> obj_c_bridged_cast_expr_info

Create a record of type obj_c_bridged_cast_expr_info.

val validate_obj_c_bridged_cast_expr_info : Atdgen_runtime.Util.Validation.path -> obj_c_bridged_cast_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_bridged_cast_expr_info.

val create_obj_c_ivar_decl_info : ?ovdi_is_synthesize:bool -> ?ovdi_access_control:obj_c_access_control -> unit -> obj_c_ivar_decl_info

Create a record of type obj_c_ivar_decl_info.

val validate_obj_c_ivar_decl_info : Atdgen_runtime.Util.Validation.path -> obj_c_ivar_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_ivar_decl_info.

val validate_obj_c_property_control : Atdgen_runtime.Util.Validation.path -> obj_c_property_control -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_property_control.

val validate_obj_c_subscript_kind : Atdgen_runtime.Util.Validation.path -> obj_c_subscript_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_subscript_kind.

val validate_objc_lifetime_attr : Atdgen_runtime.Util.Validation.path -> objc_lifetime_attr -> Atdgen_runtime.Util.Validation.error option

Validate a value of type objc_lifetime_attr.

val create_attr_type_info : ati_attr_kind:attribute_kind -> ?ati_lifetime:objc_lifetime_attr -> unit -> attr_type_info

Create a record of type attr_type_info.

val validate_attr_type_info : Atdgen_runtime.Util.Validation.path -> attr_type_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type attr_type_info.

val validate_object_kind : Atdgen_runtime.Util.Validation.path -> object_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type object_kind.

val create_offset_of_expr_info : ?ooe_literal:integer_literal_info -> unit -> offset_of_expr_info

Create a record of type offset_of_expr_info.

val validate_offset_of_expr_info : Atdgen_runtime.Util.Validation.path -> offset_of_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type offset_of_expr_info.

val validate_pointer : Atdgen_runtime.Util.Validation.path -> pointer -> Atdgen_runtime.Util.Validation.error option

Validate a value of type pointer.

val create_addr_label_expr_info : alei_label:string -> alei_pointer:pointer -> unit -> addr_label_expr_info

Create a record of type addr_label_expr_info.

val validate_addr_label_expr_info : Atdgen_runtime.Util.Validation.path -> addr_label_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type addr_label_expr_info.

val create_cxx_new_expr_info : ?xnei_is_array:bool -> ?xnei_array_size_expr:pointer -> ?xnei_initializer_expr:pointer -> ?xnei_placement_args:pointer list -> unit -> cxx_new_expr_info

Create a record of type cxx_new_expr_info.

val validate_cxx_new_expr_info : Atdgen_runtime.Util.Validation.path -> cxx_new_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type cxx_new_expr_info.

val validate_cxx_temporary : Atdgen_runtime.Util.Validation.path -> cxx_temporary -> Atdgen_runtime.Util.Validation.error option

Validate a value of type cxx_temporary.

val create_cxx_bind_temporary_expr_info : xbtei_cxx_temporary:cxx_temporary -> unit -> cxx_bind_temporary_expr_info

Create a record of type cxx_bind_temporary_expr_info.

val validate_cxx_bind_temporary_expr_info : Atdgen_runtime.Util.Validation.path -> cxx_bind_temporary_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type cxx_bind_temporary_expr_info.

val create_goto_stmt_info : gsi_label:string -> gsi_pointer:pointer -> unit -> goto_stmt_info

Create a record of type goto_stmt_info.

val validate_goto_stmt_info : Atdgen_runtime.Util.Validation.path -> goto_stmt_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type goto_stmt_info.

val create_obj_c_array_literal_expr_info : ?oalei_array_method:pointer -> unit -> obj_c_array_literal_expr_info

Create a record of type obj_c_array_literal_expr_info.

val validate_obj_c_array_literal_expr_info : Atdgen_runtime.Util.Validation.path -> obj_c_array_literal_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_array_literal_expr_info.

val create_obj_c_dictionary_literal_expr_info : ?odlei_dict_method:pointer -> unit -> obj_c_dictionary_literal_expr_info

Create a record of type obj_c_dictionary_literal_expr_info.

val validate_obj_c_dictionary_literal_expr_info : Atdgen_runtime.Util.Validation.path -> obj_c_dictionary_literal_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_dictionary_literal_expr_info.

val validate_predefined_expr_type : Atdgen_runtime.Util.Validation.path -> predefined_expr_type -> Atdgen_runtime.Util.Validation.error option

Validate a value of type predefined_expr_type.

val validate_property_attribute : Atdgen_runtime.Util.Validation.path -> property_attribute -> Atdgen_runtime.Util.Validation.error option

Validate a value of type property_attribute.

val validate_property_implementation : Atdgen_runtime.Util.Validation.path -> property_implementation -> Atdgen_runtime.Util.Validation.error option

Validate a value of type property_implementation.

val create_record_decl_info : rdi_definition_ptr:pointer -> ?rdi_is_module_private:bool -> ?rdi_is_complete_definition:bool -> ?rdi_is_dependent_type:bool -> unit -> record_decl_info

Create a record of type record_decl_info.

val validate_record_decl_info : Atdgen_runtime.Util.Validation.path -> record_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type record_decl_info.

val validate_selector : Atdgen_runtime.Util.Validation.path -> selector -> Atdgen_runtime.Util.Validation.error option

Validate a value of type selector.

val create_obj_c_method_ref_info : ?mri_getter:selector -> ?mri_setter:selector -> unit -> obj_c_method_ref_info

Create a record of type obj_c_method_ref_info.

val validate_obj_c_method_ref_info : Atdgen_runtime.Util.Validation.path -> obj_c_method_ref_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_method_ref_info.

val create_obj_c_subscript_ref_expr_info : osrei_kind:obj_c_subscript_kind -> ?osrei_getter:selector -> ?osrei_setter:selector -> unit -> obj_c_subscript_ref_expr_info

Create a record of type obj_c_subscript_ref_expr_info.

val validate_obj_c_subscript_ref_expr_info : Atdgen_runtime.Util.Validation.path -> obj_c_subscript_ref_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_subscript_ref_expr_info.

val create_objc_boxed_expr_info : ?obei_boxing_method:selector -> unit -> objc_boxed_expr_info

Create a record of type objc_boxed_expr_info.

val validate_objc_boxed_expr_info : Atdgen_runtime.Util.Validation.path -> objc_boxed_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type objc_boxed_expr_info.

val create_sentinel_attr_info : sai_sentinel:int -> sai_null_pos:int -> unit -> sentinel_attr_info

Create a record of type sentinel_attr_info.

val validate_sentinel_attr_info : Atdgen_runtime.Util.Validation.path -> sentinel_attr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type sentinel_attr_info.

val validate_source_file : Atdgen_runtime.Util.Validation.path -> source_file -> Atdgen_runtime.Util.Validation.error option

Validate a value of type source_file.

val create_source_location : ?sl_file:source_file -> ?sl_line:int -> ?sl_column:int -> ?sl_is_macro:bool -> ?sl_macro_file:source_file -> ?sl_macro_line:int -> unit -> source_location

Create a record of type source_location.

val validate_source_location : Atdgen_runtime.Util.Validation.path -> source_location -> Atdgen_runtime.Util.Validation.error option

Validate a value of type source_location.

val validate_source_range : Atdgen_runtime.Util.Validation.path -> source_range -> Atdgen_runtime.Util.Validation.error option

Validate a value of type source_range.

val create_attribute_info : ai_pointer:pointer -> ai_source_range:source_range -> unit -> attribute_info

Create a record of type attribute_info.

val validate_attribute_info : Atdgen_runtime.Util.Validation.path -> attribute_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type attribute_info.

val validate_attr_tuple : Atdgen_runtime.Util.Validation.path -> attr_tuple -> Atdgen_runtime.Util.Validation.error option

Validate a value of type attr_tuple.

val create_comment_info : ci_parent_pointer:pointer -> ci_source_range:source_range -> unit -> comment_info

Create a record of type comment_info.

val validate_comment_info : Atdgen_runtime.Util.Validation.path -> comment_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type comment_info.

val validate_specifier_kind : Atdgen_runtime.Util.Validation.path -> specifier_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type specifier_kind.

val create_stmt_info : si_pointer:pointer -> si_source_range:source_range -> unit -> stmt_info

Create a record of type stmt_info.

val validate_stmt_info : Atdgen_runtime.Util.Validation.path -> stmt_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type stmt_info.

val validate_tag_kind : Atdgen_runtime.Util.Validation.path -> tag_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type tag_kind.

val validate_type_ptr : Atdgen_runtime.Util.Validation.path -> type_ptr -> Atdgen_runtime.Util.Validation.error option

Validate a value of type type_ptr.

val create_qual_type : qt_type_ptr:type_ptr -> ?qt_is_const:bool -> ?qt_is_restrict:bool -> ?qt_is_volatile:bool -> unit -> qual_type

Create a record of type qual_type.

val validate_qual_type : Atdgen_runtime.Util.Validation.path -> qual_type -> Atdgen_runtime.Util.Validation.error option

Validate a value of type qual_type.

val create_array_type_info : arti_element_type:qual_type -> ?arti_stride:int -> unit -> array_type_info

Create a record of type array_type_info.

val validate_array_type_info : Atdgen_runtime.Util.Validation.path -> array_type_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type array_type_info.

val create_compound_assign_operator_info : caoi_lhs_type:qual_type -> caoi_result_type:qual_type -> unit -> compound_assign_operator_info

Create a record of type compound_assign_operator_info.

val validate_compound_assign_operator_info : Atdgen_runtime.Util.Validation.path -> compound_assign_operator_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type compound_assign_operator_info.

val create_cxx_delete_expr_info : ?xdei_is_array:bool -> xdei_destroyed_type:qual_type -> unit -> cxx_delete_expr_info

Create a record of type cxx_delete_expr_info.

val validate_cxx_delete_expr_info : Atdgen_runtime.Util.Validation.path -> cxx_delete_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type cxx_delete_expr_info.

val create_decl_ref : dr_kind:decl_kind -> dr_decl_pointer:pointer -> ?dr_name:named_decl_info -> ?dr_is_hidden:bool -> ?dr_qual_type:qual_type -> unit -> decl_ref

Create a record of type decl_ref.

val validate_decl_ref : Atdgen_runtime.Util.Validation.path -> decl_ref -> Atdgen_runtime.Util.Validation.error option

Validate a value of type decl_ref.

val create_cxx_construct_expr_info : xcei_decl_ref:decl_ref -> ?xcei_is_elidable:bool -> ?xcei_requires_zero_initialization:bool -> ?xcei_is_copy_constructor:bool -> unit -> cxx_construct_expr_info

Create a record of type cxx_construct_expr_info.

val validate_cxx_construct_expr_info : Atdgen_runtime.Util.Validation.path -> cxx_construct_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type cxx_construct_expr_info.

val validate_cxx_ctor_initializer_subject : Atdgen_runtime.Util.Validation.path -> cxx_ctor_initializer_subject -> Atdgen_runtime.Util.Validation.error option

Validate a value of type cxx_ctor_initializer_subject.

val create_decl_ref_expr_info : ?drti_decl_ref:decl_ref -> ?drti_found_decl_ref:decl_ref -> unit -> decl_ref_expr_info

Create a record of type decl_ref_expr_info.

val validate_decl_ref_expr_info : Atdgen_runtime.Util.Validation.path -> decl_ref_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type decl_ref_expr_info.

val create_expr_with_cleanups_info : ?ewci_decl_refs:decl_ref list -> unit -> expr_with_cleanups_info

Create a record of type expr_with_cleanups_info.

val validate_expr_with_cleanups_info : Atdgen_runtime.Util.Validation.path -> expr_with_cleanups_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type expr_with_cleanups_info.

val create_function_type_info : fti_return_type:qual_type -> unit -> function_type_info

Create a record of type function_type_info.

val validate_function_type_info : Atdgen_runtime.Util.Validation.path -> function_type_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type function_type_info.

val create_materialize_temporary_expr_info : ?mtei_decl_ref:decl_ref -> unit -> materialize_temporary_expr_info

Create a record of type materialize_temporary_expr_info.

val validate_materialize_temporary_expr_info : Atdgen_runtime.Util.Validation.path -> materialize_temporary_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type materialize_temporary_expr_info.

val create_member_expr_info : ?mei_is_arrow:bool -> ?mei_performs_virtual_dispatch:bool -> mei_name:named_decl_info -> mei_decl_ref:decl_ref -> unit -> member_expr_info

Create a record of type member_expr_info.

val validate_member_expr_info : Atdgen_runtime.Util.Validation.path -> member_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type member_expr_info.

val create_namespace_decl_info : ?ndi_is_inline:bool -> ?ndi_original_namespace:decl_ref -> unit -> namespace_decl_info

Create a record of type namespace_decl_info.

val validate_namespace_decl_info : Atdgen_runtime.Util.Validation.path -> namespace_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type namespace_decl_info.

val create_nested_name_specifier_loc : nnsl_kind:specifier_kind -> ?nnsl_ref:decl_ref -> unit -> nested_name_specifier_loc

Create a record of type nested_name_specifier_loc.

val validate_nested_name_specifier_loc : Atdgen_runtime.Util.Validation.path -> nested_name_specifier_loc -> Atdgen_runtime.Util.Validation.error option

Validate a value of type nested_name_specifier_loc.

val create_namespace_alias_decl_info : nadi_namespace_loc:source_location -> nadi_target_name_loc:source_location -> nadi_nested_name_specifier_locs:nested_name_specifier_loc list -> nadi_namespace:decl_ref -> unit -> namespace_alias_decl_info

Create a record of type namespace_alias_decl_info.

val validate_namespace_alias_decl_info : Atdgen_runtime.Util.Validation.path -> namespace_alias_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type namespace_alias_decl_info.

val create_obj_c_category_decl_info : ?odi_class_interface:decl_ref -> ?odi_implementation:decl_ref -> ?odi_protocols:decl_ref list -> unit -> obj_c_category_decl_info

Create a record of type obj_c_category_decl_info.

val validate_obj_c_category_decl_info : Atdgen_runtime.Util.Validation.path -> obj_c_category_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_category_decl_info.

val create_obj_c_category_impl_decl_info : ?ocidi_class_interface:decl_ref -> ?ocidi_category_decl:decl_ref -> unit -> obj_c_category_impl_decl_info

Create a record of type obj_c_category_impl_decl_info.

val validate_obj_c_category_impl_decl_info : Atdgen_runtime.Util.Validation.path -> obj_c_category_impl_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_category_impl_decl_info.

val create_obj_c_compatible_alias_decl_info : ?ocadi_class_interface:decl_ref -> unit -> obj_c_compatible_alias_decl_info

Create a record of type obj_c_compatible_alias_decl_info.

val validate_obj_c_compatible_alias_decl_info : Atdgen_runtime.Util.Validation.path -> obj_c_compatible_alias_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_compatible_alias_decl_info.

val create_obj_c_interface_decl_info : ?otdi_super:decl_ref -> ?otdi_implementation:decl_ref -> ?otdi_protocols:decl_ref list -> ?otdi_known_categories:decl_ref list -> unit -> obj_c_interface_decl_info

Create a record of type obj_c_interface_decl_info.

val validate_obj_c_interface_decl_info : Atdgen_runtime.Util.Validation.path -> obj_c_interface_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_interface_decl_info.

val create_obj_c_ivar_ref_expr_info : ovrei_decl_ref:decl_ref -> ovrei_pointer:pointer -> ?ovrei_is_free_ivar:bool -> unit -> obj_c_ivar_ref_expr_info

Create a record of type obj_c_ivar_ref_expr_info.

val validate_obj_c_ivar_ref_expr_info : Atdgen_runtime.Util.Validation.path -> obj_c_ivar_ref_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_ivar_ref_expr_info.

val create_obj_c_property_decl_info : opdi_qual_type:qual_type -> ?opdi_getter_method:decl_ref -> ?opdi_setter_method:decl_ref -> ?opdi_ivar_decl:decl_ref -> ?opdi_property_control:obj_c_property_control -> ?opdi_property_attributes:property_attribute list -> unit -> obj_c_property_decl_info

Create a record of type obj_c_property_decl_info.

val validate_obj_c_property_decl_info : Atdgen_runtime.Util.Validation.path -> obj_c_property_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_property_decl_info.

val create_obj_c_property_impl_decl_info : opidi_implementation:property_implementation -> ?opidi_property_decl:decl_ref -> ?opidi_ivar_decl:decl_ref -> unit -> obj_c_property_impl_decl_info

Create a record of type obj_c_property_impl_decl_info.

val validate_obj_c_property_impl_decl_info : Atdgen_runtime.Util.Validation.path -> obj_c_property_impl_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_property_impl_decl_info.

val create_obj_c_protocol_decl_info : ?opcdi_protocols:decl_ref list -> unit -> obj_c_protocol_decl_info

Create a record of type obj_c_protocol_decl_info.

val validate_obj_c_protocol_decl_info : Atdgen_runtime.Util.Validation.path -> obj_c_protocol_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_protocol_decl_info.

val create_objc_encode_expr_info : oeei_qual_type:qual_type -> oeei_raw:string -> unit -> objc_encode_expr_info

Create a record of type objc_encode_expr_info.

val validate_objc_encode_expr_info : Atdgen_runtime.Util.Validation.path -> objc_encode_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type objc_encode_expr_info.

val create_objc_object_type_info : ooti_base_type:type_ptr -> ?ooti_protocol_decls_ptr:pointer list -> ?ooti_type_args:qual_type list -> unit -> objc_object_type_info

Create a record of type objc_object_type_info.

val validate_objc_object_type_info : Atdgen_runtime.Util.Validation.path -> objc_object_type_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type objc_object_type_info.

val create_overload_expr_info : ?oei_decls:decl_ref list -> oei_name:declaration_name -> unit -> overload_expr_info

Create a record of type overload_expr_info.

val validate_overload_expr_info : Atdgen_runtime.Util.Validation.path -> overload_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type overload_expr_info.

val create_params_type_info : ?pti_params_type:qual_type list -> unit -> params_type_info

Create a record of type params_type_info.

val validate_params_type_info : Atdgen_runtime.Util.Validation.path -> params_type_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type params_type_info.

val validate_property_ref_kind : Atdgen_runtime.Util.Validation.path -> property_ref_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type property_ref_kind.

val create_obj_c_property_ref_expr_info : oprei_kind:property_ref_kind -> ?oprei_is_super_receiver:bool -> ?oprei_is_messaging_getter:bool -> ?oprei_is_messaging_setter:bool -> unit -> obj_c_property_ref_expr_info

Create a record of type obj_c_property_ref_expr_info.

val validate_obj_c_property_ref_expr_info : Atdgen_runtime.Util.Validation.path -> obj_c_property_ref_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_property_ref_expr_info.

val validate_receiver_kind : Atdgen_runtime.Util.Validation.path -> receiver_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type receiver_kind.

val create_obj_c_message_expr_info : omei_selector:string -> ?omei_is_definition_found:bool -> ?omei_decl_pointer:pointer -> ?omei_receiver_kind:receiver_kind -> unit -> obj_c_message_expr_info

Create a record of type obj_c_message_expr_info.

val validate_obj_c_message_expr_info : Atdgen_runtime.Util.Validation.path -> obj_c_message_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_message_expr_info.

val create_type_info : ti_pointer:pointer -> ?ti_desugared_type:type_ptr -> unit -> type_info

Create a record of type type_info.

val validate_type_info : Atdgen_runtime.Util.Validation.path -> type_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type type_info.

val create_type_trait_info : ?xtti_value:bool -> unit -> type_trait_info

Create a record of type type_trait_info.

val validate_type_trait_info : Atdgen_runtime.Util.Validation.path -> type_trait_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type type_trait_info.

val create_typedef_decl_info : ?tdi_is_module_private:bool -> unit -> typedef_decl_info

Create a record of type typedef_decl_info.

val validate_typedef_decl_info : Atdgen_runtime.Util.Validation.path -> typedef_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type typedef_decl_info.

val create_typedef_type_info : tti_child_type:qual_type -> tti_decl_ptr:pointer -> unit -> typedef_type_info

Create a record of type typedef_type_info.

val validate_typedef_type_info : Atdgen_runtime.Util.Validation.path -> typedef_type_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type typedef_type_info.

val validate_c_type : Atdgen_runtime.Util.Validation.path -> c_type -> Atdgen_runtime.Util.Validation.error option

Validate a value of type c_type.

val create_translation_unit_decl_info : tudi_input_path:source_file -> tudi_input_kind:input_kind -> tudi_integer_type_widths:integer_type_widths -> ?tudi_is_objc_arc_on:bool -> tudi_types:c_type list -> unit -> translation_unit_decl_info

Create a record of type translation_unit_decl_info.

val validate_translation_unit_decl_info : Atdgen_runtime.Util.Validation.path -> translation_unit_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type translation_unit_decl_info.

val validate_unary_expr_or_type_trait_kind : Atdgen_runtime.Util.Validation.path -> unary_expr_or_type_trait_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type unary_expr_or_type_trait_kind.

val create_unary_expr_or_type_trait_expr_info : uttei_kind:unary_expr_or_type_trait_kind -> uttei_qual_type:qual_type -> unit -> unary_expr_or_type_trait_expr_info

Create a record of type unary_expr_or_type_trait_expr_info.

val validate_unary_expr_or_type_trait_expr_info : Atdgen_runtime.Util.Validation.path -> unary_expr_or_type_trait_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type unary_expr_or_type_trait_expr_info.

val validate_unary_operator_kind : Atdgen_runtime.Util.Validation.path -> unary_operator_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type unary_operator_kind.

val create_unary_operator_info : uoi_kind:unary_operator_kind -> ?uoi_is_postfix:bool -> unit -> unary_operator_info

Create a record of type unary_operator_info.

val validate_unary_operator_info : Atdgen_runtime.Util.Validation.path -> unary_operator_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type unary_operator_info.

val create_unresolved_lookup_expr_info : ?ulei_requires_ADL:bool -> ?ulei_is_overloaded:bool -> ?ulei_naming_class:decl_ref -> unit -> unresolved_lookup_expr_info

Create a record of type unresolved_lookup_expr_info.

val validate_unresolved_lookup_expr_info : Atdgen_runtime.Util.Validation.path -> unresolved_lookup_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type unresolved_lookup_expr_info.

val create_using_directive_decl_info : uddi_using_location:source_location -> uddi_namespace_key_location:source_location -> uddi_nested_name_specifier_locs:nested_name_specifier_loc list -> ?uddi_nominated_namespace:decl_ref -> unit -> using_directive_decl_info

Create a record of type using_directive_decl_info.

val validate_using_directive_decl_info : Atdgen_runtime.Util.Validation.path -> using_directive_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type using_directive_decl_info.

val validate_value_kind : Atdgen_runtime.Util.Validation.path -> value_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type value_kind.

val create_expr_info : ei_qual_type:qual_type -> ?ei_value_kind:value_kind -> ?ei_object_kind:object_kind -> unit -> expr_info

Create a record of type expr_info.

val validate_expr_info : Atdgen_runtime.Util.Validation.path -> expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type expr_info.

val create_version_tuple : vt_major:int -> ?vt_minor:int -> ?vt_subminor:int -> ?vt_build:int -> unit -> version_tuple

Create a record of type version_tuple.

val validate_version_tuple : Atdgen_runtime.Util.Validation.path -> version_tuple -> Atdgen_runtime.Util.Validation.error option

Validate a value of type version_tuple.

val create_availability_attr_info : ?aai_platform:string -> aai_introduced:version_tuple -> unit -> availability_attr_info

Create a record of type availability_attr_info.

val validate_availability_attr_info : Atdgen_runtime.Util.Validation.path -> availability_attr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type availability_attr_info.

val validate_visibility_attr : Atdgen_runtime.Util.Validation.path -> visibility_attr -> Atdgen_runtime.Util.Validation.error option

Validate a value of type visibility_attr.

val validate_attribute : Atdgen_runtime.Util.Validation.path -> attribute -> Atdgen_runtime.Util.Validation.error option

Validate a value of type attribute.

val validate_template_instantiation_arg_info : Atdgen_runtime.Util.Validation.path -> template_instantiation_arg_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type template_instantiation_arg_info.

val validate_comment : Atdgen_runtime.Util.Validation.path -> comment -> Atdgen_runtime.Util.Validation.error option

Validate a value of type comment.

val create_template_specialization_info : tsi_template_decl:pointer -> ?tsi_specialization_args:template_instantiation_arg_info list -> unit -> template_specialization_info

Create a record of type template_specialization_info.

val validate_template_specialization_info : Atdgen_runtime.Util.Validation.path -> template_specialization_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type template_specialization_info.

val create_decl_info : di_pointer:pointer -> ?di_parent_pointer:pointer -> di_source_range:source_range -> ?di_owning_module:string -> ?di_is_hidden:bool -> ?di_is_implicit:bool -> ?di_is_used:bool -> ?di_is_this_declaration_referenced:bool -> ?di_is_invalid_decl:bool -> ?di_attributes:attribute list -> ?di_full_comment:comment -> ?di_access:access_specifier -> unit -> decl_info

Create a record of type decl_info.

val validate_decl_info : Atdgen_runtime.Util.Validation.path -> decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type decl_info.

val create_block_captured_variable : ?bcv_is_by_ref:bool -> ?bcv_is_nested:bool -> ?bcv_variable:decl_ref -> ?bcv_copy_expr:stmt -> unit -> block_captured_variable

Create a record of type block_captured_variable.

val validate_block_captured_variable : Atdgen_runtime.Util.Validation.path -> block_captured_variable -> Atdgen_runtime.Util.Validation.error option

Validate a value of type block_captured_variable.

val create_block_decl_info : ?bdi_parameters:decl list -> ?bdi_is_variadic:bool -> ?bdi_captures_cxx_this:bool -> ?bdi_captured_variables:block_captured_variable list -> ?bdi_body:stmt -> ?bdi_mangled_name:string -> unit -> block_decl_info

Create a record of type block_decl_info.

val validate_block_decl_info : Atdgen_runtime.Util.Validation.path -> block_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type block_decl_info.

val create_coreturn_stmt_info : ?coret_operand:stmt -> ?coret_promise_call:stmt -> unit -> coreturn_stmt_info

Create a record of type coreturn_stmt_info.

val validate_coreturn_stmt_info : Atdgen_runtime.Util.Validation.path -> coreturn_stmt_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type coreturn_stmt_info.

val create_coro_body_stmt_info : cbs_body:pointer -> cbs_promise_decl_stmt:pointer -> cbs_return_value:stmt -> unit -> coro_body_stmt_info

Create a record of type coro_body_stmt_info.

val validate_coro_body_stmt_info : Atdgen_runtime.Util.Validation.path -> coro_body_stmt_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type coro_body_stmt_info.

val create_cxx_catch_stmt_info : ?xcsi_variable:decl -> unit -> cxx_catch_stmt_info

Create a record of type cxx_catch_stmt_info.

val validate_cxx_catch_stmt_info : Atdgen_runtime.Util.Validation.path -> cxx_catch_stmt_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type cxx_catch_stmt_info.

val create_cxx_ctor_initializer : xci_subject:cxx_ctor_initializer_subject -> xci_source_range:source_range -> ?xci_init_expr:stmt -> unit -> cxx_ctor_initializer

Create a record of type cxx_ctor_initializer.

val validate_cxx_ctor_initializer : Atdgen_runtime.Util.Validation.path -> cxx_ctor_initializer -> Atdgen_runtime.Util.Validation.error option

Validate a value of type cxx_ctor_initializer.

val create_cxx_default_expr_info : ?xdaei_init_expr:stmt -> unit -> cxx_default_expr_info

Create a record of type cxx_default_expr_info.

val validate_cxx_default_expr_info : Atdgen_runtime.Util.Validation.path -> cxx_default_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type cxx_default_expr_info.

val create_cxx_method_decl_info : ?xmdi_is_virtual:bool -> ?xmdi_is_static:bool -> ?xmdi_is_const:bool -> ?xmdi_is_copy_assignment:bool -> ?xmdi_is_copy_constructor:bool -> ?xmdi_is_move_constructor:bool -> ?xmdi_cxx_ctor_initializers:cxx_ctor_initializer list -> ?xmdi_overriden_methods:decl_ref list -> unit -> cxx_method_decl_info

Create a record of type cxx_method_decl_info.

val validate_cxx_method_decl_info : Atdgen_runtime.Util.Validation.path -> cxx_method_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type cxx_method_decl_info.

val create_cxx_record_decl_info : ?xrdi_bases:type_ptr list -> ?xrdi_vbases:type_ptr list -> ?xrdi_transitive_vbases:type_ptr list -> ?xrdi_is_pod:bool -> ?xrdi_is_trivially_copyable:bool -> ?xrdi_destructor:decl_ref -> ?xrdi_lambda_call_operator:decl_ref -> ?xrdi_lambda_captures:lambda_capture_info list -> unit -> cxx_record_decl_info

Create a record of type cxx_record_decl_info.

val validate_cxx_record_decl_info : Atdgen_runtime.Util.Validation.path -> cxx_record_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type cxx_record_decl_info.

val validate_decl : Atdgen_runtime.Util.Validation.path -> decl -> Atdgen_runtime.Util.Validation.error option

Validate a value of type decl.

val create_enum_constant_decl_info : ?ecdi_init_expr:stmt -> unit -> enum_constant_decl_info

Create a record of type enum_constant_decl_info.

val validate_enum_constant_decl_info : Atdgen_runtime.Util.Validation.path -> enum_constant_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type enum_constant_decl_info.

val create_field_decl_info : ?fldi_is_mutable:bool -> ?fldi_is_module_private:bool -> ?fldi_init_expr:stmt -> ?fldi_bit_width_expr:stmt -> unit -> field_decl_info

Create a record of type field_decl_info.

val validate_field_decl_info : Atdgen_runtime.Util.Validation.path -> field_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type field_decl_info.

val validate_friend_info : Atdgen_runtime.Util.Validation.path -> friend_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type friend_info.

val create_function_decl_info : ?fdi_mangled_name:string -> ?fdi_is_cpp:bool -> ?fdi_is_inline:bool -> ?fdi_is_module_private:bool -> ?fdi_is_pure:bool -> ?fdi_is_deleted:bool -> ?fdi_is_no_return:bool -> ?fdi_is_constexpr:bool -> ?fdi_is_variadic:bool -> ?fdi_is_static:bool -> ?fdi_parameters:decl list -> ?fdi_decl_ptr_with_body:pointer -> ?fdi_body:stmt -> ?fdi_template_specialization:template_specialization_info -> ?fdi_point_of_instantiation:source_location -> unit -> function_decl_info

Create a record of type function_decl_info.

val validate_function_decl_info : Atdgen_runtime.Util.Validation.path -> function_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type function_decl_info.

val create_generic_selection_info : ?gse_value:stmt -> unit -> generic_selection_info

Create a record of type generic_selection_info.

val validate_generic_selection_info : Atdgen_runtime.Util.Validation.path -> generic_selection_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type generic_selection_info.

val create_holding_var_decl_info : ?hvdi_binding_var:var_decl_info -> unit -> holding_var_decl_info

Create a record of type holding_var_decl_info.

val validate_holding_var_decl_info : Atdgen_runtime.Util.Validation.path -> holding_var_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type holding_var_decl_info.

val create_if_stmt_info : ?isi_init:pointer -> ?isi_cond_var:stmt -> isi_cond:pointer -> isi_then:pointer -> ?isi_else:(pointer * source_location) -> unit -> if_stmt_info

Create a record of type if_stmt_info.

val validate_if_stmt_info : Atdgen_runtime.Util.Validation.path -> if_stmt_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type if_stmt_info.

val create_lambda_capture_info : lci_capture_kind:lambda_capture_kind -> ?lci_capture_this:bool -> ?lci_capture_variable:bool -> ?lci_capture_VLAtype:bool -> ?lci_init_captured_vardecl:decl -> ?lci_captured_var:decl_ref -> ?lci_is_implicit:bool -> lci_location:source_range -> ?lci_is_pack_expansion:bool -> unit -> lambda_capture_info

Create a record of type lambda_capture_info.

val validate_lambda_capture_info : Atdgen_runtime.Util.Validation.path -> lambda_capture_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type lambda_capture_info.

val create_lambda_expr_info : lei_lambda_decl:decl -> unit -> lambda_expr_info

Create a record of type lambda_expr_info.

val validate_lambda_expr_info : Atdgen_runtime.Util.Validation.path -> lambda_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type lambda_expr_info.

val create_obj_c_implementation_decl_info : ?oidi_super:decl_ref -> ?oidi_class_interface:decl_ref -> ?oidi_ivar_initializers:cxx_ctor_initializer list -> unit -> obj_c_implementation_decl_info

Create a record of type obj_c_implementation_decl_info.

val validate_obj_c_implementation_decl_info : Atdgen_runtime.Util.Validation.path -> obj_c_implementation_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_implementation_decl_info.

val validate_obj_c_message_expr_kind : Atdgen_runtime.Util.Validation.path -> obj_c_message_expr_kind -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_message_expr_kind.

val create_obj_c_method_decl_info : ?omdi_is_instance_method:bool -> omdi_result_type:qual_type -> ?omdi_is_property_accessor:bool -> ?omdi_property_decl:decl_ref -> ?omdi_parameters:decl list -> ?omdi_implicit_parameters:decl list -> ?omdi_is_variadic:bool -> ?omdi_is_overriding:bool -> ?omdi_is_optional:bool -> ?omdi_body:stmt -> ?omdi_mangled_name:string -> unit -> obj_c_method_decl_info

Create a record of type obj_c_method_decl_info.

val validate_obj_c_method_decl_info : Atdgen_runtime.Util.Validation.path -> obj_c_method_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type obj_c_method_decl_info.

val create_opaque_value_expr_info : ?ovei_source_expr:stmt -> unit -> opaque_value_expr_info

Create a record of type opaque_value_expr_info.

val validate_opaque_value_expr_info : Atdgen_runtime.Util.Validation.path -> opaque_value_expr_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type opaque_value_expr_info.

val validate_stmt : Atdgen_runtime.Util.Validation.path -> stmt -> Atdgen_runtime.Util.Validation.error option

Validate a value of type stmt.

val create_switch_stmt_info : ?ssi_init:pointer -> ?ssi_cond_var:stmt -> ssi_cond:pointer -> ssi_body:pointer -> ?ssi_is_all_enum_cases_covered:bool -> unit -> switch_stmt_info

Create a record of type switch_stmt_info.

val validate_switch_stmt_info : Atdgen_runtime.Util.Validation.path -> switch_stmt_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type switch_stmt_info.

val create_template_decl_info : ?tdi_specializations:decl list -> unit -> template_decl_info

Create a record of type template_decl_info.

val validate_template_decl_info : Atdgen_runtime.Util.Validation.path -> template_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type template_decl_info.

val create_var_decl_info : ?vdi_is_global:bool -> ?vdi_is_extern:bool -> ?vdi_is_static:bool -> ?vdi_is_static_local:bool -> ?vdi_is_static_data_member:bool -> ?vdi_is_constexpr:bool -> ?vdi_is_init_ice:bool -> ?vdi_init_expr:stmt -> ?vdi_is_init_expr_cxx11_constant:bool -> ?vdi_parm_index_in_function:int -> unit -> var_decl_info

Create a record of type var_decl_info.

val validate_var_decl_info : Atdgen_runtime.Util.Validation.path -> var_decl_info -> Atdgen_runtime.Util.Validation.error option

Validate a value of type var_decl_info.

val create_lookup : lup_decl_name:string -> lup_decl_refs:decl_ref list -> unit -> lookup

Create a record of type lookup.

val validate_lookup : Atdgen_runtime.Util.Validation.path -> lookup -> Atdgen_runtime.Util.Validation.error option

Validate a value of type lookup.

val create_lookups : lups_decl_ref:decl_ref -> ?lups_primary_context_pointer:pointer -> lups_lookups:lookup list -> ?lups_has_undeserialized_decls:bool -> unit -> lookups

Create a record of type lookups.

val validate_lookups : Atdgen_runtime.Util.Validation.path -> lookups -> Atdgen_runtime.Util.Validation.error option

Validate a value of type lookups.